aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket14/tb.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/ticket14/tb.vhd')
-rw-r--r--testsuite/gna/ticket14/tb.vhd32
1 files changed, 32 insertions, 0 deletions
diff --git a/testsuite/gna/ticket14/tb.vhd b/testsuite/gna/ticket14/tb.vhd
new file mode 100644
index 000000000..3b6c50ecc
--- /dev/null
+++ b/testsuite/gna/ticket14/tb.vhd
@@ -0,0 +1,32 @@
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity tb is
+
+end tb;
+
+architecture behav of tb is
+ signal clk : std_logic;
+begin -- behav
+
+ process
+ begin
+ for i in 1 to 5 loop
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end loop; -- i
+ end process;
+
+ inst : entity work.scrambler port map (
+ clk => clk,
+ en => '0',
+ reset => '0',
+ seed => '0',
+ d_in => '0',
+ d_out => open);
+
+end behav;