aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket14/reprook.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/ticket14/reprook.vhdl')
-rw-r--r--testsuite/gna/ticket14/reprook.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/ticket14/reprook.vhdl b/testsuite/gna/ticket14/reprook.vhdl
new file mode 100644
index 000000000..0d026a705
--- /dev/null
+++ b/testsuite/gna/ticket14/reprook.vhdl
@@ -0,0 +1,23 @@
+entity reprook is
+ generic (
+ BUS_WIDTH : integer := 8;
+ ARRAY_WIDTH : integer := 2);
+end entity reprook;
+
+architecture behavioural of reprook is
+
+ type test_array_btype is array (integer range <>) of
+ bit_vector (BUS_WIDTH-1 downto 0);
+ subtype test_array_type is test_array_btype (ARRAY_WIDTH-1 downto 0);
+ signal s : test_array_type := (others => (others => '0'));
+
+begin
+
+ failing_process : process
+ begin
+ assert s'left = 1;
+ assert s'right = 0;
+ wait;
+ end process failing_process;
+
+end architecture behavioural;