aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue807/repro.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue807/repro.vhdl')
-rw-r--r--testsuite/gna/issue807/repro.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/issue807/repro.vhdl b/testsuite/gna/issue807/repro.vhdl
new file mode 100644
index 000000000..1e57d8bf2
--- /dev/null
+++ b/testsuite/gna/issue807/repro.vhdl
@@ -0,0 +1,20 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+use work.test_pkg.all;
+
+entity test is
+end entity;
+
+architecture a of test is
+begin
+
+ process
+ variable rec : record_t(data(7 downto 0));
+ begin
+ test_procedure(rec);
+ report to_string(rec.data);
+ wait;
+ end process;
+
+end architecture;