aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue803/tb.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue803/tb.vhdl')
-rw-r--r--testsuite/gna/issue803/tb.vhdl10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/issue803/tb.vhdl b/testsuite/gna/issue803/tb.vhdl
new file mode 100644
index 000000000..61ee11e42
--- /dev/null
+++ b/testsuite/gna/issue803/tb.vhdl
@@ -0,0 +1,10 @@
+entity tb is
+end entity;
+
+architecture arch of tb is
+begin
+ process begin
+ report "Hello!" severity failure;
+ wait;
+ end process;
+end;