aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue797/tb.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue797/tb.vhdl')
-rw-r--r--testsuite/gna/issue797/tb.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue797/tb.vhdl b/testsuite/gna/issue797/tb.vhdl
new file mode 100644
index 000000000..2e173fed8
--- /dev/null
+++ b/testsuite/gna/issue797/tb.vhdl
@@ -0,0 +1,19 @@
+use work.pkg_c.all;
+
+entity test is
+end entity;
+
+architecture tb of test is
+ constant block_len : natural := 3;
+begin
+ main: process
+ variable val: integer;
+ begin
+ report "HELLO" severity note;
+ for x in 0 to block_len-1 loop
+ val := get(x);
+ set(block_len+x, val+1);
+ end loop;
+ wait;
+ end process;
+end architecture;