aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue713/sim_types_pkg.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue713/sim_types_pkg.vhd')
-rw-r--r--testsuite/gna/issue713/sim_types_pkg.vhd18
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/issue713/sim_types_pkg.vhd b/testsuite/gna/issue713/sim_types_pkg.vhd
new file mode 100644
index 000000000..ad1aed8dc
--- /dev/null
+++ b/testsuite/gna/issue713/sim_types_pkg.vhd
@@ -0,0 +1,18 @@
+package sim_types_pkg is
+ type descriptor_t is record
+ --address : std_ulogic_vector(dma_addr_range);
+ --length : std_ulogic_vector(dma_len_range);
+ address : natural;
+ length : positive;
+ end record;
+
+ procedure call_report (v : natural);
+end package;
+
+package body sim_types_pkg is
+ procedure call_report (v : natural) is
+ begin
+ report "call_report " & natural'image(v) severity note;
+ end call_report;
+end sim_types_pkg;
+