aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue641/test_2b_record_subtype_alias/TestPkg.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue641/test_2b_record_subtype_alias/TestPkg.vhd')
-rw-r--r--testsuite/gna/issue641/test_2b_record_subtype_alias/TestPkg.vhd10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/issue641/test_2b_record_subtype_alias/TestPkg.vhd b/testsuite/gna/issue641/test_2b_record_subtype_alias/TestPkg.vhd
new file mode 100644
index 000000000..24eeaabf4
--- /dev/null
+++ b/testsuite/gna/issue641/test_2b_record_subtype_alias/TestPkg.vhd
@@ -0,0 +1,10 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+package TestPkg is
+ type ARecType is record
+ A : unsigned ;
+ end record ARecType ;
+
+end package TestPkg ;