diff options
Diffstat (limited to 'testsuite/gna/issue641/repro4.vhdl')
-rw-r--r-- | testsuite/gna/issue641/repro4.vhdl | 20 |
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/issue641/repro4.vhdl b/testsuite/gna/issue641/repro4.vhdl new file mode 100644 index 000000000..b1bc34c6a --- /dev/null +++ b/testsuite/gna/issue641/repro4.vhdl @@ -0,0 +1,20 @@ +entity repro4b is + port (b : bit_vector); +end entity; + +architecture a of repro4b is + signal c : b'subtype; +begin + c <= (others => '0'); +end architecture; + +entity repro4 is +end entity; + +architecture tb of repro4 is + signal s : bit_vector(7 downto 0); +begin + DUT: entity work.repro4b + port map (b => s); +end architecture; + |