aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue440/ent2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue440/ent2.vhdl')
-rw-r--r--testsuite/gna/issue440/ent2.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue440/ent2.vhdl b/testsuite/gna/issue440/ent2.vhdl
new file mode 100644
index 000000000..51eae7b0c
--- /dev/null
+++ b/testsuite/gna/issue440/ent2.vhdl
@@ -0,0 +1,19 @@
+package gpkg2 is
+ generic (const : natural);
+end package;
+
+package ipkg2 is new work.gpkg2 generic map (const => 1);
+
+entity ent2 is
+end entity;
+
+architecture a of ent2 is
+begin
+ main : process
+ begin
+ -- Case 1
+ assert work.ipkg.const = 1; -- Should this result in a 'no declaration of const' error?
+ -- case 2
+ assert << constant @work.ipkg.const : natural>> = 1; -- Should this be visible?
+ end process;
+end architecture;