aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue440/e.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue440/e.vhdl')
-rw-r--r--testsuite/gna/issue440/e.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/issue440/e.vhdl b/testsuite/gna/issue440/e.vhdl
new file mode 100644
index 000000000..d09bc3954
--- /dev/null
+++ b/testsuite/gna/issue440/e.vhdl
@@ -0,0 +1,13 @@
+package p is
+ generic(
+ function generic_f(b:bit) return boolean
+ );
+end package;
+
+entity e is end entity;
+architecture a of e is
+ function f(b:bit) return boolean is begin return false; end function;
+ package q is new work.p generic map(f);
+begin
+ assert q.generic_f('0') report "msg1" severity note;
+end architecture;