aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue376/repro2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue376/repro2.vhdl')
-rw-r--r--testsuite/gna/issue376/repro2.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/gna/issue376/repro2.vhdl b/testsuite/gna/issue376/repro2.vhdl
new file mode 100644
index 000000000..d4807195d
--- /dev/null
+++ b/testsuite/gna/issue376/repro2.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro2 is
+ port (p : inout std_logic_vector (3 downto 0));
+end repro2;
+
+architecture behav of repro2 is
+ alias p_0 is p(0);
+begin
+ p_0 <= '0' after 1 ns, '1' after 4 ns;
+end behav;