aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2217/test2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2217/test2.vhdl')
-rw-r--r--testsuite/gna/issue2217/test2.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/issue2217/test2.vhdl b/testsuite/gna/issue2217/test2.vhdl
new file mode 100644
index 000000000..368d305af
--- /dev/null
+++ b/testsuite/gna/issue2217/test2.vhdl
@@ -0,0 +1,23 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test is
+end entity test;
+architecture beh of test is
+ type t_msg_id_panel is array (natural range <>) of boolean;
+ procedure enable_log_msg(
+ variable msg_id_panel : t_msg_id_panel
+ )is begin
+ end procedure;
+
+ procedure enable_log_msg(
+ msg : string
+ )is begin
+ end procedure;
+begin
+process(all)
+begin
+ enable_log_msg("Test test");
+end process;
+end architecture beh;