aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/func5.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2116/func5.vhdl')
-rw-r--r--testsuite/gna/issue2116/func5.vhdl10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/func5.vhdl b/testsuite/gna/issue2116/func5.vhdl
new file mode 100644
index 000000000..85151bae6
--- /dev/null
+++ b/testsuite/gna/issue2116/func5.vhdl
@@ -0,0 +1,10 @@
+entity tb is
+end tb;
+
+architecture behavioral of tb is
+ function A(v : integer) return i000'er is
+ begin
+ end;
+begin
+end behavioral;
+