aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/func3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2116/func3.vhdl')
-rw-r--r--testsuite/gna/issue2116/func3.vhdl4
1 files changed, 4 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/func3.vhdl b/testsuite/gna/issue2116/func3.vhdl
new file mode 100644
index 000000000..be04d4bb3
--- /dev/null
+++ b/testsuite/gna/issue2116/func3.vhdl
@@ -0,0 +1,4 @@
+package n is
+ generic(package g is new n generic map(<>));
+ function t return l;
+end;