aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr6.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2116/attr6.vhdl')
-rw-r--r--testsuite/gna/issue2116/attr6.vhdl6
1 files changed, 6 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/attr6.vhdl b/testsuite/gna/issue2116/attr6.vhdl
new file mode 100644
index 000000000..cda044269
--- /dev/null
+++ b/testsuite/gna/issue2116/attr6.vhdl
@@ -0,0 +1,6 @@
+library ieee;use ieee.std_logic_1164;use ieee.numeric_std.all;entity hello is
+port(t:std'c;t:i(0));end hello;architecture behav of h is
+signal v:d(0);begin
+process(c)begin
+if(0)then
+if'0'then(0)<=0;end if;end if;end process;end behav; \ No newline at end of file