aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr16.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2116/attr16.vhdl')
-rw-r--r--testsuite/gna/issue2116/attr16.vhdl3
1 files changed, 3 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/attr16.vhdl b/testsuite/gna/issue2116/attr16.vhdl
new file mode 100644
index 000000000..8a0242083
--- /dev/null
+++ b/testsuite/gna/issue2116/attr16.vhdl
@@ -0,0 +1,3 @@
+library ieee;use ieee.numeric_std.all;use ieee.std_logic_1164.all;entity t is generic(e:boolean:=false);port(l:std'c);end;architecture a of g is type y is array(0)of t;signal m:n;begin
+y<='0'when(0)else'0'when(0)and(0);process(l)begin
+if(0)then if 0 then(0)<=0;end if;if 0 then if 0 then end if;end if;end if;end process;end; \ No newline at end of file