aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr11.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2116/attr11.vhdl')
-rw-r--r--testsuite/gna/issue2116/attr11.vhdl4
1 files changed, 4 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/attr11.vhdl b/testsuite/gna/issue2116/attr11.vhdl
new file mode 100644
index 000000000..3e362b268
--- /dev/null
+++ b/testsuite/gna/issue2116/attr11.vhdl
@@ -0,0 +1,4 @@
+library ieee;use ieee.std_logic_1164.all;entity if01 is port(a:std_logic;b:std_logic;n:std_logic;l:std_logic;cl0:std_logic;s:std_logic;s0:std_logic);end;architecture behav of if01 is
+begin process(cl0)is
+variable t:std'l;begin
+if(0)then if'0'then end if;end if;end process;end behav; \ No newline at end of file