aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2100/ent.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2100/ent.vhdl')
-rw-r--r--testsuite/gna/issue2100/ent.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue2100/ent.vhdl b/testsuite/gna/issue2100/ent.vhdl
new file mode 100644
index 000000000..6b93d3014
--- /dev/null
+++ b/testsuite/gna/issue2100/ent.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+context ieee.ieee_std_context;
+
+entity ent is
+ port (
+ din : in unsigned(15 downto 0);
+ dout : out unsigned(31 downto 0)
+ );
+end ent;
+
+architecture arch of ent is
+
+begin
+
+ dout <= resize(din, dout'subtype);
+
+end architecture;