aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2097/my_fixed_pkg.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2097/my_fixed_pkg.vhdl')
-rw-r--r--testsuite/gna/issue2097/my_fixed_pkg.vhdl7
1 files changed, 7 insertions, 0 deletions
diff --git a/testsuite/gna/issue2097/my_fixed_pkg.vhdl b/testsuite/gna/issue2097/my_fixed_pkg.vhdl
new file mode 100644
index 000000000..eee9cde50
--- /dev/null
+++ b/testsuite/gna/issue2097/my_fixed_pkg.vhdl
@@ -0,0 +1,7 @@
+library IEEE;
+context IEEE.IEEE_std_context;
+
+package my_fixed_pkg is new IEEE.fixed_generic_pkg;
+
+--!
+