aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2091/test.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2091/test.vhdl')
-rw-r--r--testsuite/gna/issue2091/test.vhdl27
1 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/issue2091/test.vhdl b/testsuite/gna/issue2091/test.vhdl
new file mode 100644
index 000000000..99c13af52
--- /dev/null
+++ b/testsuite/gna/issue2091/test.vhdl
@@ -0,0 +1,27 @@
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+library work;
+ use work.log;
+
+entity test is
+end entity;
+
+architecture tb of test is
+begin
+ main : process is
+ begin
+ wait for 7.5 ns;
+
+ log.logger.set_level(log.TRACE);
+
+ log.trace("TRACE");
+ log.debug("DEBUG");
+ log.info("INFO");
+ log.warn("WARN");
+ log.error("ERROR");
+
+ std.env.finish;
+ end process;
+end architecture;