aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1684/repro.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1684/repro.vhdl')
-rw-r--r--testsuite/gna/issue1684/repro.vhdl30
1 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/gna/issue1684/repro.vhdl b/testsuite/gna/issue1684/repro.vhdl
new file mode 100644
index 000000000..dc9b019c3
--- /dev/null
+++ b/testsuite/gna/issue1684/repro.vhdl
@@ -0,0 +1,30 @@
+entity repro is
+end;
+
+architecture behav of repro is
+ signal count : natural;
+
+ procedure proc (signal cnt : inout natural;
+ signal inp : bit_vector (3 downto 0)) is
+ begin
+ report "proc executed";
+ if inp'event then
+ cnt <= cnt + 1;
+ end if;
+ end proc;
+
+ signal s : bit_vector (3 downto 0);
+begin
+ proc (count, inp (3 downto 0) => s);
+
+ process
+ begin
+ wait for 1 ns;
+ s <= x"3";
+ wait for 1 ns;
+ s <= x"b";
+ wait for 1 ns;
+ assert count = 2 severity failure;
+ wait;
+ end process;
+end behav;