aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1549/ent5.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1549/ent5.vhdl')
-rw-r--r--testsuite/gna/issue1549/ent5.vhdl26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/issue1549/ent5.vhdl b/testsuite/gna/issue1549/ent5.vhdl
new file mode 100644
index 000000000..58684bcb0
--- /dev/null
+++ b/testsuite/gna/issue1549/ent5.vhdl
@@ -0,0 +1,26 @@
+entity ent5 is
+ generic (
+ WIDTH : natural := 1);
+end ent5;
+
+architecture ent of ent5 is
+ type bv_array_t is array (natural range <>) of bit_vector;
+ subtype bv_array2_t is bv_array_t(open)(WIDTH - 1 downto 0);
+
+ procedure write_data (constant c : bv_array2_t) is
+ begin
+ for i in c'range loop
+ report integer'image(i) & " =>" & to_string(c(i));
+ end loop;
+
+ assert c(1)(0) = '1'; -- <<<<<====== This should not fail
+ end procedure;
+
+ constant data2 : bv_array2_t(0 to 1) := (0 => "0", 1 => "1");
+begin
+ process
+ begin
+ write_data(data2);
+ wait;
+ end process;
+end ent;