aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1455/pkg2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1455/pkg2.vhdl')
-rw-r--r--testsuite/gna/issue1455/pkg2.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/gna/issue1455/pkg2.vhdl b/testsuite/gna/issue1455/pkg2.vhdl
new file mode 100644
index 000000000..14ff15d03
--- /dev/null
+++ b/testsuite/gna/issue1455/pkg2.vhdl
@@ -0,0 +1,9 @@
+package pkg2 is
+ type my_arr2D_t is array (natural range <>) of real_vector;
+
+ constant my_arr2D: my_arr2D_t(0 to 1) := (
+ (0.0, 0.1),
+ (1.0, 1.1)
+ );
+end;
+