aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1455/pkg1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1455/pkg1.vhdl')
-rw-r--r--testsuite/gna/issue1455/pkg1.vhdl8
1 files changed, 8 insertions, 0 deletions
diff --git a/testsuite/gna/issue1455/pkg1.vhdl b/testsuite/gna/issue1455/pkg1.vhdl
new file mode 100644
index 000000000..641671f20
--- /dev/null
+++ b/testsuite/gna/issue1455/pkg1.vhdl
@@ -0,0 +1,8 @@
+package pkg1 is
+ type my_arr2D_t is array (natural range <>) of real_vector;
+
+ constant my_arr2D: my_arr2D_t := (
+ (0.0, 0.1),
+ (1.0, 1.1)
+ );
+end;