aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug21513/pb.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug21513/pb.vhdl')
-rw-r--r--testsuite/gna/bug21513/pb.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/bug21513/pb.vhdl b/testsuite/gna/bug21513/pb.vhdl
new file mode 100644
index 000000000..5b63cf1ab
--- /dev/null
+++ b/testsuite/gna/bug21513/pb.vhdl
@@ -0,0 +1,17 @@
+entity pb is
+end pb;
+
+architecture behav of pb is
+ type t is record
+ v : integer;
+ end record;
+
+ function "-" (a, b : t) return t is
+ variable v : integer;
+ begin
+ "-".v := a.v;
+ v := "-".b.v;
+ return b;
+ end "-";
+begin
+end;