aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0128/test3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug0128/test3.vhdl')
-rw-r--r--testsuite/gna/bug0128/test3.vhdl35
1 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/gna/bug0128/test3.vhdl b/testsuite/gna/bug0128/test3.vhdl
new file mode 100644
index 000000000..a7d165dfd
--- /dev/null
+++ b/testsuite/gna/bug0128/test3.vhdl
@@ -0,0 +1,35 @@
+use std.textio.all;
+
+entity test2 is
+end entity;
+
+architecture beh of test2 is
+
+ procedure fun1(
+ variable x: inout line
+ ) is
+ begin
+
+ end procedure;
+
+ procedure fun2(
+ variable x: in string
+ ) is
+ begin
+ report x severity note;
+ end procedure;
+
+begin
+
+ process
+ variable x :line;
+ begin
+ --doing something with x... or leave null....
+ fun1(x);
+
+ --How to check if x is null so that i can put an if statement around this?
+ fun2(x.all);
+
+ end process;
+
+end architecture;