aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/vhdl-sem_inst.adb
diff options
context:
space:
mode:
Diffstat (limited to 'src/vhdl/vhdl-sem_inst.adb')
-rw-r--r--src/vhdl/vhdl-sem_inst.adb2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/vhdl/vhdl-sem_inst.adb b/src/vhdl/vhdl-sem_inst.adb
index 699b5b3e8..151bfda8f 100644
--- a/src/vhdl/vhdl-sem_inst.adb
+++ b/src/vhdl/vhdl-sem_inst.adb
@@ -16,7 +16,7 @@
with Tables;
with Vhdl.Nodes_Priv;
-with Nodes_Meta;
+with Vhdl.Nodes_Meta;
with Types; use Types;
with Files_Map;
with Iirs_Utils; use Iirs_Utils;