aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/vhdl-sem_expr.adb
diff options
context:
space:
mode:
Diffstat (limited to 'src/vhdl/vhdl-sem_expr.adb')
-rw-r--r--src/vhdl/vhdl-sem_expr.adb3
1 files changed, 3 insertions, 0 deletions
diff --git a/src/vhdl/vhdl-sem_expr.adb b/src/vhdl/vhdl-sem_expr.adb
index b7560904f..e9b2663a9 100644
--- a/src/vhdl/vhdl-sem_expr.adb
+++ b/src/vhdl/vhdl-sem_expr.adb
@@ -638,6 +638,9 @@ package body Vhdl.Sem_Expr is
end if;
end if;
+ Check_Read (Left);
+ Check_Read (Right);
+
Left := Eval_Expr_If_Static (Left);
Right := Eval_Expr_If_Static (Right);