aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/vhdl-ieee-numeric.adb
diff options
context:
space:
mode:
Diffstat (limited to 'src/vhdl/vhdl-ieee-numeric.adb')
-rw-r--r--src/vhdl/vhdl-ieee-numeric.adb24
1 files changed, 24 insertions, 0 deletions
diff --git a/src/vhdl/vhdl-ieee-numeric.adb b/src/vhdl/vhdl-ieee-numeric.adb
index 73f3010ac..b165eb7e9 100644
--- a/src/vhdl/vhdl-ieee-numeric.adb
+++ b/src/vhdl/vhdl-ieee-numeric.adb
@@ -466,9 +466,13 @@ package body Vhdl.Ieee.Numeric is
(Pkg_Std =>
(Type_Unsigned =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_And_Uns_Uns,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_And_Uns_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_And_Log_Uns,
others => Iir_Predefined_None),
Type_Signed =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_And_Sgn_Sgn,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_And_Sgn_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_And_Log_Sgn,
others => Iir_Predefined_None)),
Pkg_Bit =>
(others =>
@@ -478,9 +482,13 @@ package body Vhdl.Ieee.Numeric is
(Pkg_Std =>
(Type_Unsigned =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_Or_Uns_Uns,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_Or_Uns_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_Or_Log_Uns,
others => Iir_Predefined_None),
Type_Signed =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_Or_Sgn_Sgn,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_Or_Sgn_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_Or_Log_Sgn,
others => Iir_Predefined_None)),
Pkg_Bit =>
(others =>
@@ -490,9 +498,13 @@ package body Vhdl.Ieee.Numeric is
(Pkg_Std =>
(Type_Unsigned =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_Nand_Uns_Uns,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_Nand_Uns_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_Nand_Log_Uns,
others => Iir_Predefined_None),
Type_Signed =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_Nand_Sgn_Sgn,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_Nand_Sgn_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_Nand_Log_Sgn,
others => Iir_Predefined_None)),
Pkg_Bit =>
(others =>
@@ -502,9 +514,13 @@ package body Vhdl.Ieee.Numeric is
(Pkg_Std =>
(Type_Unsigned =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_Nor_Uns_Uns,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_Nor_Uns_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_Nor_Log_Uns,
others => Iir_Predefined_None),
Type_Signed =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_Nor_Sgn_Sgn,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_Nor_Sgn_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_Nor_Log_Sgn,
others => Iir_Predefined_None)),
Pkg_Bit =>
(others =>
@@ -514,9 +530,13 @@ package body Vhdl.Ieee.Numeric is
(Pkg_Std =>
(Type_Unsigned =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_Xor_Uns_Uns,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_Xor_Uns_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_Xor_Log_Uns,
others => Iir_Predefined_None),
Type_Signed =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_Xor_Sgn_Sgn,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_Xor_Sgn_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_Xor_Log_Sgn,
others => Iir_Predefined_None)),
Pkg_Bit =>
(others =>
@@ -526,9 +546,13 @@ package body Vhdl.Ieee.Numeric is
(Pkg_Std =>
(Type_Unsigned =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_Xnor_Uns_Uns,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_Xnor_Uns_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_Xnor_Log_Uns,
others => Iir_Predefined_None),
Type_Signed =>
(Arg_Vect_Vect => Iir_Predefined_Ieee_Numeric_Std_Xnor_Sgn_Sgn,
+ Arg_Vect_Log => Iir_Predefined_Ieee_Numeric_Std_Xnor_Sgn_Log,
+ Arg_Log_Vect => Iir_Predefined_Ieee_Numeric_Std_Xnor_Log_Sgn,
others => Iir_Predefined_None)),
Pkg_Bit =>
(others =>