aboutsummaryrefslogtreecommitdiffstats
path: root/src/synth
diff options
context:
space:
mode:
Diffstat (limited to 'src/synth')
-rw-r--r--src/synth/synth-vhdl_decls.adb6
-rw-r--r--src/synth/synth-vhdl_expr.adb3
-rw-r--r--src/synth/synth-vhdl_insts.adb8
-rw-r--r--src/synth/synth-vhdl_stmts.adb2
4 files changed, 0 insertions, 19 deletions
diff --git a/src/synth/synth-vhdl_decls.adb b/src/synth/synth-vhdl_decls.adb
index 7507d21b0..779c802c1 100644
--- a/src/synth/synth-vhdl_decls.adb
+++ b/src/synth/synth-vhdl_decls.adb
@@ -1013,10 +1013,6 @@ package body Synth.Vhdl_Decls is
Synth_Signal_Declaration (Syn_Inst, Decl);
when Iir_Kind_Object_Alias_Declaration =>
Synth_Object_Alias_Declaration (Syn_Inst, Decl);
- when Iir_Kind_Anonymous_Signal_Declaration =>
- -- Anonymous signals created by inertial associations are
- -- simply ignored.
- null;
when Iir_Kind_Procedure_Declaration
| Iir_Kind_Function_Declaration =>
Synth_Subprogram_Declaration (Syn_Inst, Decl);
@@ -1172,8 +1168,6 @@ package body Synth.Vhdl_Decls is
| Iir_Kind_Interface_Signal_Declaration =>
pragma Assert (not Is_Subprg);
Finalize_Signal (Syn_Inst, Decl);
- when Iir_Kind_Anonymous_Signal_Declaration =>
- null;
when Iir_Kind_Object_Alias_Declaration =>
null;
when Iir_Kind_Procedure_Declaration
diff --git a/src/synth/synth-vhdl_expr.adb b/src/synth/synth-vhdl_expr.adb
index 72f3103af..4e6c2b33e 100644
--- a/src/synth/synth-vhdl_expr.adb
+++ b/src/synth/synth-vhdl_expr.adb
@@ -2300,9 +2300,6 @@ package body Synth.Vhdl_Expr is
-- Only used for anonymous signals in internal association.
return Synth_Expression_With_Type
(Syn_Inst, Get_Named_Entity (Expr), Expr_Type);
- when Iir_Kind_Anonymous_Signal_Declaration =>
- return Synth_Expression_With_Type
- (Syn_Inst, Get_Expression (Expr), Expr_Type);
when Iir_Kind_Indexed_Name
| Iir_Kind_Slice_Name =>
declare
diff --git a/src/synth/synth-vhdl_insts.adb b/src/synth/synth-vhdl_insts.adb
index 06ec882be..df943e782 100644
--- a/src/synth/synth-vhdl_insts.adb
+++ b/src/synth/synth-vhdl_insts.adb
@@ -775,14 +775,6 @@ package body Synth.Vhdl_Insts is
when Iir_Kind_Association_Element_By_Expression
| Iir_Kind_Association_Element_By_Name =>
Actual := Get_Actual (Assoc);
- if Get_Kind (Actual) = Iir_Kind_Reference_Name then
- -- Skip inserted anonymous signal declaration.
- -- FIXME: simply do not insert it ?
- Actual := Get_Named_Entity (Actual);
- pragma Assert
- (Get_Kind (Actual) = Iir_Kind_Anonymous_Signal_Declaration);
- Actual := Get_Expression (Actual);
- end if;
Act_Inst := Syn_Inst;
when Iir_Kind_Association_Element_By_Individual =>
return Synth_Individual_Input_Assoc (Syn_Inst, Assoc, Inter_Inst);
diff --git a/src/synth/synth-vhdl_stmts.adb b/src/synth/synth-vhdl_stmts.adb
index 544b4ebf4..2634af688 100644
--- a/src/synth/synth-vhdl_stmts.adb
+++ b/src/synth/synth-vhdl_stmts.adb
@@ -107,7 +107,6 @@ package body Synth.Vhdl_Stmts is
| Iir_Kind_Variable_Declaration
| Iir_Kind_Interface_Variable_Declaration
| Iir_Kind_Signal_Declaration
- | Iir_Kind_Anonymous_Signal_Declaration
| Iir_Kind_Interface_Constant_Declaration
| Iir_Kind_Constant_Declaration
| Iir_Kind_File_Declaration
@@ -369,7 +368,6 @@ package body Synth.Vhdl_Stmts is
| Iir_Kind_Interface_Signal_Declaration
| Iir_Kind_Variable_Declaration
| Iir_Kind_Signal_Declaration
- | Iir_Kind_Anonymous_Signal_Declaration
| Iir_Kind_Indexed_Name
| Iir_Kind_Slice_Name
| Iir_Kind_Dereference =>