aboutsummaryrefslogtreecommitdiffstats
path: root/src/synth/netlists-gates.ads
diff options
context:
space:
mode:
Diffstat (limited to 'src/synth/netlists-gates.ads')
-rw-r--r--src/synth/netlists-gates.ads3
1 files changed, 3 insertions, 0 deletions
diff --git a/src/synth/netlists-gates.ads b/src/synth/netlists-gates.ads
index d45dbc705..f3084105b 100644
--- a/src/synth/netlists-gates.ads
+++ b/src/synth/netlists-gates.ads
@@ -133,6 +133,9 @@ package Netlists.Gates is
-- 1: direct and only connection to the port
Id_Inout : constant Module_Id := 53;
+ -- Behaves like Id_Signal but for enable wires.
+ Id_Enable : constant Module_Id := 54;
+
-- Note: initial values must be constant nets.
--
-- A simple D flip-flop. The D input is stored on a rising edge of CLK.