aboutsummaryrefslogtreecommitdiffstats
path: root/pyGHDL/libghdl/vhdl/ieee.py
diff options
context:
space:
mode:
Diffstat (limited to 'pyGHDL/libghdl/vhdl/ieee.py')
-rw-r--r--pyGHDL/libghdl/vhdl/ieee.py7
1 files changed, 7 insertions, 0 deletions
diff --git a/pyGHDL/libghdl/vhdl/ieee.py b/pyGHDL/libghdl/vhdl/ieee.py
index 2241188e7..953b6c64c 100644
--- a/pyGHDL/libghdl/vhdl/ieee.py
+++ b/pyGHDL/libghdl/vhdl/ieee.py
@@ -37,6 +37,13 @@ from ctypes import c_int
from pyGHDL.libghdl import libghdl
+__all__ = [
+ 'Std_Logic_1164_Pkg',
+ 'Std_Logic_Type',
+ 'Std_Logic_Vector_Type'
+]
+
+
Std_Logic_1164_Pkg = c_int.in_dll(
libghdl, "vhdl__ieee__std_logic_1164__std_logic_1164_pkg"
)