aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/synth/issue2266/reproducer.vhdl27
-rwxr-xr-xtestsuite/synth/issue2266/testsuite.sh9
2 files changed, 36 insertions, 0 deletions
diff --git a/testsuite/synth/issue2266/reproducer.vhdl b/testsuite/synth/issue2266/reproducer.vhdl
new file mode 100644
index 000000000..93ae0b810
--- /dev/null
+++ b/testsuite/synth/issue2266/reproducer.vhdl
@@ -0,0 +1,27 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity reproducer is
+-- generic(
+-- DUT_IN_DATA_WIDTH : natural
+-- );
+ port(
+ clk : in std_logic;
+ reset : in std_logic
+ );
+end entity;
+
+architecture reproducer_arch of reproducer is
+ attribute anyconst : boolean;
+ attribute anyseq : boolean;
+
+ signal w_dut_in_valid : std_logic;
+
+ attribute anyseq of w_dut_in_valid : signal is true;
+begin
+
+ default clock is rising_edge(clk);
+ a_incr_not_eop: assert always {w_dut_in_valid} |=> {w_dut_in_valid} sync_abort (reset = '1');
+
+end architecture;
diff --git a/testsuite/synth/issue2266/testsuite.sh b/testsuite/synth/issue2266/testsuite.sh
new file mode 100755
index 000000000..de7617491
--- /dev/null
+++ b/testsuite/synth/issue2266/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_FLAGS=--std=08
+
+synth_only reproducer
+
+echo "Test successful"