aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/synth/issue1991/issue.vhdl28
-rwxr-xr-xtestsuite/synth/issue1991/testsuite.sh7
2 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/synth/issue1991/issue.vhdl b/testsuite/synth/issue1991/issue.vhdl
new file mode 100644
index 000000000..a0c5967c5
--- /dev/null
+++ b/testsuite/synth/issue1991/issue.vhdl
@@ -0,0 +1,28 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity issue is
+ port (
+ clk : in std_logic;
+ idx : in std_logic_vector(7 downto 0);
+ led : out std_logic
+ );
+end issue;
+
+architecture implementation of issue is
+
+begin
+
+ process(clk)
+ begin
+ if (rising_edge (clk)) then
+ if idx <= x"10000000" then
+ led <= '1';
+ else
+ led <= '0';
+ end if;
+ end if;
+ end process;
+
+end implementation;
diff --git a/testsuite/synth/issue1991/testsuite.sh b/testsuite/synth/issue1991/testsuite.sh
new file mode 100755
index 000000000..53b1b69ab
--- /dev/null
+++ b/testsuite/synth/issue1991/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+synth_only issue
+
+echo "Test successful"