aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--Makefile.in3
-rw-r--r--libraries/Makefile.inc9
-rw-r--r--testsuite/sanity/006upf/test.vhdl5
-rwxr-xr-xtestsuite/sanity/006upf/testsuite.sh9
4 files changed, 21 insertions, 5 deletions
diff --git a/Makefile.in b/Makefile.in
index c6941f52d..f18e84736 100644
--- a/Makefile.in
+++ b/Makefile.in
@@ -509,7 +509,8 @@ VHDLLIB_SUBDIRS_COMMON= src/std src/std/v87 src/std/v93 src/std/v08 \
src/synopsys src/synopsys/v08 \
std/v87 ieee/v87 synopsys/v87 \
std/v93 ieee/v93 synopsys/v93 \
- std/v08 ieee/v08
+ std/v08 ieee/v08 \
+ src/openieee
VHDLLIB_SUBDIRS_FULL= src/ieee src/vital95 src/vital2000 src/mentor \
src/ieee/v87 src/ieee/v93 src/ieee2008 \
diff --git a/libraries/Makefile.inc b/libraries/Makefile.inc
index fbb184d74..124590733 100644
--- a/libraries/Makefile.inc
+++ b/libraries/Makefile.inc
@@ -48,6 +48,7 @@ SYNOPSYS_BSRCS := std_logic_arith.vhdl \
SYNOPSYS_V_BSRCS := std_logic_misc.vhdl std_logic_misc-body.vhdl
SYNOPSYS8793_BSRCS := std_logic_textio.vhdl
MENTOR_BSRCS := mentor/std_logic_arith.vhdl mentor/std_logic_arith-body.vhdl
+UPF_SRCS := openieee/upf.vhdl openieee/upf-body.vhdl
ifeq ($(enable_openieee),false)
IEEE_SRCS := std_logic_1164.vhdl std_logic_1164-body.vhdl \
@@ -74,16 +75,16 @@ IEEE08_BSRCS := \
ieee2008/fixed_pkg.vhdl \
ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl \
ieee2008/float_pkg.vhdl \
- ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl
+ ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl \
+ $(UPF_SRCS)
-IEEE87_BSRCS := $(addprefix ieee/v87/,$(IEEE_SRCS))
-IEEE93_BSRCS := $(addprefix ieee/v93/,$(IEEE_SRCS)) $(addprefix ieee/,$(MATH_SRCS))
+IEEE87_BSRCS := $(addprefix ieee/v87/,$(IEEE_SRCS)) $(UPF_SRCS)
+IEEE93_BSRCS := $(addprefix ieee/v93/,$(IEEE_SRCS)) $(addprefix ieee/,$(MATH_SRCS)) $(UPF_SRCS)
else
IEEE_SRCS := std_logic_1164.vhdl std_logic_1164-body.vhdl \
numeric_bit.vhdl numeric_bit-body.vhdl \
numeric_std.vhdl numeric_std-body.vhdl
-UPF_SRCS := openieee/upf.vhdl openieee/upf-body.vhdl
MATH_SRCS := math_real.vhdl math_real-body.vhdl
VITAL95_BSRCS :=
VITAL2000_BSRCS :=
diff --git a/testsuite/sanity/006upf/test.vhdl b/testsuite/sanity/006upf/test.vhdl
new file mode 100644
index 000000000..4d287b782
--- /dev/null
+++ b/testsuite/sanity/006upf/test.vhdl
@@ -0,0 +1,5 @@
+library ieee;
+use ieee.UPF.all;
+
+entity test is
+end entity;
diff --git a/testsuite/sanity/006upf/testsuite.sh b/testsuite/sanity/006upf/testsuite.sh
new file mode 100755
index 000000000..f8534f54e
--- /dev/null
+++ b/testsuite/sanity/006upf/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze test.vhdl
+
+clean
+
+echo "Test successful"