aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/issue707/ent.vhdl19
-rwxr-xr-xtestsuite/gna/issue707/testsuite.sh11
2 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/gna/issue707/ent.vhdl b/testsuite/gna/issue707/ent.vhdl
new file mode 100644
index 000000000..515c5ae0b
--- /dev/null
+++ b/testsuite/gna/issue707/ent.vhdl
@@ -0,0 +1,19 @@
+entity ent is
+end entity;
+
+architecture a of ent is
+ subtype my_low_rng is integer range 0 to 1;
+ subtype my_high_rng is integer range 2 to 3;
+
+-- constant my_good_booleans : boolean_vector(0 to 3) :=
+-- (0 to 1 => true, 2 to 3 => false);
+ constant my_bad_booleans : boolean_vector(0 to 3) :=
+ (my_low_rng => true, my_high_rng => false);
+begin
+
+ process begin
+ report "Hello world" severity note;
+ wait;
+ end process;
+
+end;
diff --git a/testsuite/gna/issue707/testsuite.sh b/testsuite/gna/issue707/testsuite.sh
new file mode 100755
index 000000000..bda8f5d14
--- /dev/null
+++ b/testsuite/gna/issue707/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze ent.vhdl
+elab_simulate ent
+
+clean
+
+echo "Test successful"