aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/synth/physical01/physical_division.vhdl14
-rwxr-xr-xtestsuite/synth/physical01/testsuite.sh11
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/synth/physical01/physical_division.vhdl b/testsuite/synth/physical01/physical_division.vhdl
new file mode 100644
index 000000000..c632cd7de
--- /dev/null
+++ b/testsuite/synth/physical01/physical_division.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+ use ieee.std_logic_1164.all;
+
+entity physical_division is
+ port (
+ clk_cycles : out integer
+ );
+end physical_division;
+
+architecture rtl of physical_division is
+ constant CLK_PERIOD : time := 83.333 ns;
+begin
+ clk_cycles <= 100 ms / CLK_PERIOD;
+end rtl;
diff --git a/testsuite/synth/physical01/testsuite.sh b/testsuite/synth/physical01/testsuite.sh
new file mode 100755
index 000000000..594edb174
--- /dev/null
+++ b/testsuite/synth/physical01/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in physical_division; do
+ synth $t.vhdl -e $t > syn_$t.vhdl
+ analyze syn_$t.vhdl
+ clean
+done
+
+echo "Test successful"