diff options
27 files changed, 61822 insertions, 45 deletions
diff --git a/testsuite/gna/issue1067/golden_top.txt b/testsuite/gna/issue1067/golden_top.txt new file mode 100644 index 000000000..03ec14d34 --- /dev/null +++ b/testsuite/gna/issue1067/golden_top.txt @@ -0,0 +1,63 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type std_ulogic_vector is array (natural range <>) of std_ulogic; +subtype std_logic_vector is std_ulogic_vector; +type t_slv_array is array (natural range <>) of std_logic_vector; +design + package standard: + package textio: + package std_logic_1164: + package numeric_std: + instance top: + signal test: t_slv_array (0 to 2): #1-#24 +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) diff --git a/testsuite/gna/issue1067/testsuite.sh b/testsuite/gna/issue1067/testsuite.sh index edba5bba5..28b04a32f 100755 --- a/testsuite/gna/issue1067/testsuite.sh +++ b/testsuite/gna/issue1067/testsuite.sh @@ -11,7 +11,8 @@ for f in top; do if ghdl_has_feature $f ghw; then simulate $f --dump-rti simulate $f --wave=$f.ghw - rm -f $f.ghw + ghw_diff "$f" + rm -f "$f".txt "$f".ghw fi done diff --git a/testsuite/gna/issue1131/golden_dut.txt b/testsuite/gna/issue1131/golden_dut.txt new file mode 100644 index 000000000..7ad93b03d --- /dev/null +++ b/testsuite/gna/issue1131/golden_dut.txt @@ -0,0 +1,160 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +subtype std_logic is std_ulogic range 'U' to '-'; +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type std_ulogic_vector is array (natural range <>) of std_ulogic; +subtype std_logic_vector is std_ulogic_vector; +type bust is record + a: std_logic_vector; + f: std_logic_vector; +end record; +design + package standard: + package textio: + package std_logic_1164: + instance dut: + port-in clk: std_logic: #1 + port-in reset: std_logic: #2 + signal businst: bust(a (63 downto 0), f (3 downto 0)): #3-#70 +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) diff --git a/testsuite/gna/issue1131/golden_repro2.txt b/testsuite/gna/issue1131/golden_repro2.txt new file mode 100644 index 000000000..ff43e3a34 --- /dev/null +++ b/testsuite/gna/issue1131/golden_repro2.txt @@ -0,0 +1,244 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type std_ulogic_vector is array (natural range <>) of std_ulogic; +subtype std_logic_vector is std_ulogic_vector; +type bust is record + a: std_logic_vector; + f: std_logic_vector; +end record; +type bust_arr is array (natural range <>) of bust; +subtype my_bust_arr is bust_arr (0 to 1); +type bit is ('0', '1'); -- WKT:2 +design + package standard: + package textio: + package std_logic_1164: + instance repro2: + signal businst: bust(a (7 downto 0), f (3 downto 0)): #1-#12 + signal barr1: my_bust_arr: #13-#26 + signal barr2: bust_arr (1 downto 0): #27-#42 + signal s: bit: #43 + process P0: + process P1: + process P2: +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: '0' (0) +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: '0' (0) +Time is 200000 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: '1' (3) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: '1' (3) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: '0' (0) +Time is 1000000 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: '1' (3) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: '1' (3) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: '1' (1) +Time is 2000000 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: '1' (3) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: '1' (3) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: '0' (0) diff --git a/testsuite/gna/issue1131/testsuite.sh b/testsuite/gna/issue1131/testsuite.sh index f5ecbbc3e..7837b9bdb 100755 --- a/testsuite/gna/issue1131/testsuite.sh +++ b/testsuite/gna/issue1131/testsuite.sh @@ -5,13 +5,14 @@ export GHDL_STD_FLAGS=--std=08 for f in dut repro2; do - analyze $f.vhdl - elab $f + analyze "$f".vhdl + elab "$f" - if ghdl_has_feature $f ghw; then - simulate $f --dump-rti - simulate $f --wave=$f.ghw - rm -f $f.ghw + if ghdl_has_feature "$f" ghw; then + simulate "$f" --dump-rti + simulate "$f" --wave="$f".ghw + ghw_diff "$f" + rm -f "$f".txt "$f".ghw fi done diff --git a/testsuite/gna/issue1404/golden_tb.txt b/testsuite/gna/issue1404/golden_tb.txt new file mode 100644 index 000000000..28cc988cd --- /dev/null +++ b/testsuite/gna/issue1404/golden_tb.txt @@ -0,0 +1,32 @@ +type bit is ('0', '1'); -- WKT:2 +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type bit_vector is array (natural range <>) of bit; +subtype mytype_t is bit_vector (1 downto 0); +type pass_through_t is record + texture_id: mytype_t; +end record; +design + package standard: + package mylib_pkg: + package types_pkg: + instance design_tb: + signal clk: bit: #1 + signal input_pass_through: pass_through_t: #2-#3 + process P0: +Time is 0 fs +#1: '1' (1) +#2: '0' (0) +#3: '0' (0) +Time is 0 fs +#1: '1' (1) +#2: '0' (0) +#3: '0' (0) +Time is 1000000 fs +#1: '0' (0) +#2: '0' (0) +#3: '0' (0) +Time is 2000000 fs +#1: '1' (1) +#2: '0' (0) +#3: '0' (0) diff --git a/testsuite/gna/issue1404/testsuite.sh b/testsuite/gna/issue1404/testsuite.sh index ee0d0f7d5..7125c3476 100755 --- a/testsuite/gna/issue1404/testsuite.sh +++ b/testsuite/gna/issue1404/testsuite.sh @@ -9,7 +9,8 @@ elab design_tb if ghdl_has_feature design_tb ghw; then simulate design_tb --wave=tb.ghw - rm -f tb.ghw + ghw_diff tb + rm -f tb.txt tb.ghw fi clean diff --git a/testsuite/gna/issue158/golden_repro.txt b/testsuite/gna/issue158/golden_repro.txt new file mode 100644 index 000000000..a197f0c62 --- /dev/null +++ b/testsuite/gna/issue158/golden_repro.txt @@ -0,0 +1,12 @@ +type integer is range <>; +design + package standard: + instance repro: + generate-for genf: (1) + block blk: + generate-for genf: (2) + block blk: + generate-if geni: + block blk: +Time is 0 fs +Time is 0 fs diff --git a/testsuite/gna/issue158/testsuite.sh b/testsuite/gna/issue158/testsuite.sh index cc3b19ef5..b38f802ca 100755 --- a/testsuite/gna/issue158/testsuite.sh +++ b/testsuite/gna/issue158/testsuite.sh @@ -3,11 +3,13 @@ . ../../testenv.sh analyze repro.vhdl +elab repro if ghdl_has_feature repro ghw; then - elab_simulate repro --wave=repro.ghw - # How to test the ghw ? Use ghwdump ? + simulate repro --wave=repro.ghw | tee repro.out + ghw_diff repro + rm -f repro.out repro.txt repro.ghw fi + clean -rm -f repro.ghw echo "Test successful" diff --git a/testsuite/gna/issue332/golden_rec.txt b/testsuite/gna/issue332/golden_rec.txt new file mode 100644 index 000000000..76e205da7 --- /dev/null +++ b/testsuite/gna/issue332/golden_rec.txt @@ -0,0 +1,44 @@ +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type bit is ('0', '1'); -- WKT:2 +type bit_vector is array (natural range <>) of bit; +type my_rec is record + s: natural; + b: bit_vector; + c: bit_vector; +end record; +design + package standard: + instance repro_rec: + signal r: my_rec(b (1 to 3), c (2 to 3)): #1-#6 + signal a: bit_vector (0 to 1): #7-#8 + process P0: + block blk: + port-in a1: bit_vector (0 to 1): #7-#8 +Time is 0 fs +#1: 0 +#2: '0' (0) +#3: '0' (0) +#4: '0' (0) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) +Time is 0 fs +#1: 1 +#2: '0' (0) +#3: '1' (1) +#4: '0' (0) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) +Time is 1000000 fs +#1: 1 +#2: '1' (1) +#3: '0' (0) +#4: '1' (1) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) diff --git a/testsuite/gna/issue332/golden_sim.txt b/testsuite/gna/issue332/golden_sim.txt new file mode 100644 index 000000000..848f31460 --- /dev/null +++ b/testsuite/gna/issue332/golden_sim.txt @@ -0,0 +1,11950 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +subtype std_logic is std_ulogic range 'U' to '-'; +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type unresolved_unsigned is array (natural range <>) of std_ulogic; +type std_ulogic_vector is array (natural range <>) of std_ulogic; +type t_p2c is record + rw_ier: std_ulogic_vector (5 downto 0); + awt_itr: std_ulogic_vector (5 downto 0); + awt_icr: std_ulogic_vector (5 downto 0); + awt_irq2cpu_ena: std_logic; + awt_irq2cpu_disable: std_logic; +end record; +type t_c2p is record + aro_irr: std_ulogic_vector (5 downto 0); + aro_ipr: std_ulogic_vector (5 downto 0); + aro_irq2cpu_allowed: std_logic; +end record; +subtype unsigned is unresolved_unsigned; +subtype std_logic_vector is std_ulogic_vector; +type t_sbi_if is record + cs: std_logic; + addr: unsigned; + rd: std_logic; + wr: std_logic; + wdata: std_logic_vector; + ready: std_logic; + rdata: std_logic_vector; +end record; +design + package standard: + package textio: + package std_logic_1164: + package numeric_std: + package env: + package irqc_pif_pkg: + package ilos_sim_pkg: + instance tb_irqc: + signal arst_sig: std_logic: #1 + signal clk_sig: std_logic: #2 + signal cs_sig: std_logic: #3 + signal addr_sig: unresolved_unsigned (2 downto 0): #4-#6 + signal wr_sig: std_logic: #7 + signal rd_sig: std_logic: #8 + signal din_sig: std_ulogic_vector (7 downto 0): #9-#16 + signal dout_sig: std_ulogic_vector (7 downto 0): #17-#24 + signal p2c_sig: t_p2c: #25-#44 + signal c2p_sig: t_c2p: #45-#57 + signal run_sig: std_logic: #58 + signal sbi_if: t_sbi_if(addr (2 downto 0), wdata (7 downto 0), rdata (7 downto 0)): #59-#81 + instance irqc: + port-in arst: std_logic: #1 + port-in clk: std_logic: #2 + port-in cs: std_logic: #3 + port-in addr: unresolved_unsigned (2 downto 0): #4-#6 + port-in wr: std_logic: #7 + port-in rd: std_logic: #8 + port-in din: std_ulogic_vector (7 downto 0): #9-#16 + port-out dout: std_ulogic_vector (7 downto 0): #17-#24 + port-out p2c: t_p2c: #25-#44 + port-in c2p: t_c2p: #45-#57 + signal p2c_i: t_p2c: #82-#101 + signal dout_i: std_ulogic_vector (7 downto 0): #102-#109 + process P0: + process p_read_reg: + process P1: + process p_write_reg: + process p_aux: + process P0: + process tb: +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: 'U' (0) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 0 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 10000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 20000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 30000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 40000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 50000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 60000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 70000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 80000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 90000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 100000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 110000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 120000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 130000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 140000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 150000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 160000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 170000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 180000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 190000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 200000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 210000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 220000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 230000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 240000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 250000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 260000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 270000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 280000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 290000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 300000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 310000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 320000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 330000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 340000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 350000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 360000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 370000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 380000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 390000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 400000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 405000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 410000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 420000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 425000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 430000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 440000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 445000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '1' (3) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 450000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '1' (3) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 460000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '1' (3) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 465000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '1' (3) +#5: '1' (3) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 470000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '1' (3) +#5: '1' (3) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 480000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '1' (3) +#5: '1' (3) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 485000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '1' (3) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 490000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '1' (3) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 500000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '1' (3) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 505000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 510000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 520000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 530000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 540000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 550000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 560000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 570000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 580000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 585000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 590000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 600000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 610000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 620000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 630000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 640000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 650000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 660000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 670000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 680000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 690000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 700000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 710000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 720000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 730000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 740000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 750000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 760000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 770000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 780000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 785000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 790000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 800000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 810000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 820000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 830000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 840000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 850000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 860000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 870000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 880000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 890000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 900000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 910000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 920000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 930000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 940000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 950000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 960000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 970000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 980000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) diff --git a/testsuite/gna/issue332/testsuite.sh b/testsuite/gna/issue332/testsuite.sh index 1dfe7cd21..a734d2906 100755 --- a/testsuite/gna/issue332/testsuite.sh +++ b/testsuite/gna/issue332/testsuite.sh @@ -12,6 +12,8 @@ elab tb_irqc if ghdl_has_feature tb_irqc ghw; then simulate tb_irqc --wave=sim.ghw + ghw_diff sim + rm -f sim.txt sim.ghw fi analyze repro_rec.vhdl @@ -19,12 +21,10 @@ elab repro_rec if ghdl_has_feature repro_rec ghw; then simulate repro_rec --wave=rec.ghw + ghw_diff rec + rm -f rec.txt rec.ghw fi clean -if [ $# -eq 0 ]; then - rm -f rec.ghw sim.ghw -fi - echo "Test successful" diff --git a/testsuite/gna/issue339/golden_w.txt b/testsuite/gna/issue339/golden_w.txt new file mode 100644 index 000000000..166634758 --- /dev/null +++ b/testsuite/gna/issue339/golden_w.txt @@ -0,0 +1,19898 @@ +type integer is range <>; +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +subtype integer is integer range -2147483648 to 2147483647; +type unresolved_sfixed is array (integer range <>) of std_ulogic; +subtype sfixed is unresolved_sfixed; +subtype natural is integer range 0 to 2147483647; +type t_sf_array is array (natural range <>) of sfixed; +subtype std_logic is std_ulogic range 'U' to '-'; +design + package standard: + package textio: + package std_logic_1164: + package numeric_std: + package fixed_float_types: + package math_real: + package std_logic_textio: + package test_pkg: + instance test_bench: + signal counter: integer range 0 to 1000: #1 + signal my_signal: unresolved_sfixed (0 downto -15): #2-#17 + signal my_array: t_sf_array (0 to 15): #18-#273 + signal s_rst: std_logic: #274 + signal s_clk: std_logic: #275 + process P0: + process P1: + process write_result: +Time is 0 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '1' (3) +#275: '1' (3) +Time is 0 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '1' (3) +#275: '1' (3) +Time is 10000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '1' (3) +#275: '0' (2) +Time is 20000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '1' (3) +#275: '1' (3) +Time is 30000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '1' (3) +#275: '0' (2) +Time is 40000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '1' (3) +#275: '1' (3) +Time is 50000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 60000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 70000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 80000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 90000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 100000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 110000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 120000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 130000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 140000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 150000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 160000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 170000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 180000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 190000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 200000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 210000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 220000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 230000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 240000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 250000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 260000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 270000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 280000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 290000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 300000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 310000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 320000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 330000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 340000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 350000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 360000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 370000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 380000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 390000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 400000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 410000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 420000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 430000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 440000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 450000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 460000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 470000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 480000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 490000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 500000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 510000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 520000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 530000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 540000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 550000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 560000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 570000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 580000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 590000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 600000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 610000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 620000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 630000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 640000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 650000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 660000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 670000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 680000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) +Time is 690000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '0' (2) +Time is 700000000 fs +#1: 0 +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: '1' (3) +#34: '0' (2) +#35: '1' (3) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '1' (3) +#53: '0' (2) +#54: '1' (3) +#55: '0' (2) +#56: '1' (3) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '1' (3) +#61: '0' (2) +#62: '1' (3) +#63: '0' (2) +#64: '1' (3) +#65: '1' (3) +#66: '0' (2) +#67: '0' (2) +#68: '1' (3) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: '0' (2) +#74: '0' (2) +#75: '0' (2) +#76: '0' (2) +#77: '0' (2) +#78: '0' (2) +#79: '0' (2) +#80: '0' (2) +#81: '0' (2) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '1' (3) +#86: '1' (3) +#87: '0' (2) +#88: '0' (2) +#89: '1' (3) +#90: '1' (3) +#91: '0' (2) +#92: '0' (2) +#93: '1' (3) +#94: '1' (3) +#95: '0' (2) +#96: '1' (3) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '1' (3) +#102: '0' (2) +#103: '1' (3) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +#110: '0' (2) +#111: '1' (3) +#112: '0' (2) +#113: '1' (3) +#114: '0' (2) +#115: '0' (2) +#116: '0' (2) +#117: '1' (3) +#118: '0' (2) +#119: '0' (2) +#120: '1' (3) +#121: '0' (2) +#122: '0' (2) +#123: '1' (3) +#124: '0' (2) +#125: '0' (2) +#126: '1' (3) +#127: '0' (2) +#128: '0' (2) +#129: '1' (3) +#130: '0' (2) +#131: '0' (2) +#132: '0' (2) +#133: '1' (3) +#134: '0' (2) +#135: '0' (2) +#136: '0' (2) +#137: '0' (2) +#138: '0' (2) +#139: '0' (2) +#140: '0' (2) +#141: '0' (2) +#142: '0' (2) +#143: '0' (2) +#144: '0' (2) +#145: '0' (2) +#146: '0' (2) +#147: '0' (2) +#148: '0' (2) +#149: '0' (2) +#150: '1' (3) +#151: '1' (3) +#152: '1' (3) +#153: '0' (2) +#154: '0' (2) +#155: '0' (2) +#156: '1' (3) +#157: '1' (3) +#158: '1' (3) +#159: '0' (2) +#160: '0' (2) +#161: '1' (3) +#162: '0' (2) +#163: '0' (2) +#164: '0' (2) +#165: '0' (2) +#166: '1' (3) +#167: '1' (3) +#168: '0' (2) +#169: '0' (2) +#170: '1' (3) +#171: '1' (3) +#172: '0' (2) +#173: '0' (2) +#174: '1' (3) +#175: '1' (3) +#176: '0' (2) +#177: '1' (3) +#178: '0' (2) +#179: '0' (2) +#180: '0' (2) +#181: '0' (2) +#182: '1' (3) +#183: '0' (2) +#184: '1' (3) +#185: '1' (3) +#186: '1' (3) +#187: '0' (2) +#188: '1' (3) +#189: '0' (2) +#190: '0' (2) +#191: '0' (2) +#192: '1' (3) +#193: '1' (3) +#194: '0' (2) +#195: '0' (2) +#196: '0' (2) +#197: '0' (2) +#198: '1' (3) +#199: '0' (2) +#200: '1' (3) +#201: '0' (2) +#202: '1' (3) +#203: '0' (2) +#204: '1' (3) +#205: '0' (2) +#206: '1' (3) +#207: '0' (2) +#208: '1' (3) +#209: '1' (3) +#210: '0' (2) +#211: '0' (2) +#212: '0' (2) +#213: '0' (2) +#214: '1' (3) +#215: '0' (2) +#216: '0' (2) +#217: '1' (3) +#218: '1' (3) +#219: '1' (3) +#220: '0' (2) +#221: '1' (3) +#222: '1' (3) +#223: '0' (2) +#224: '0' (2) +#225: '0' (2) +#226: '0' (2) +#227: '0' (2) +#228: '0' (2) +#229: '0' (2) +#230: '1' (3) +#231: '0' (2) +#232: '0' (2) +#233: '1' (3) +#234: '0' (2) +#235: '0' (2) +#236: '1' (3) +#237: '0' (2) +#238: '0' (2) +#239: '1' (3) +#240: '0' (2) +#241: '0' (2) +#242: '0' (2) +#243: '0' (2) +#244: '0' (2) +#245: '0' (2) +#246: '1' (3) +#247: '0' (2) +#248: '0' (2) +#249: '0' (2) +#250: '1' (3) +#251: '0' (2) +#252: '0' (2) +#253: '0' (2) +#254: '1' (3) +#255: '0' (2) +#256: '0' (2) +#257: '0' (2) +#258: '0' (2) +#259: '0' (2) +#260: '0' (2) +#261: '0' (2) +#262: '1' (3) +#263: '0' (2) +#264: '0' (2) +#265: '0' (2) +#266: '0' (2) +#267: '0' (2) +#268: '0' (2) +#269: '0' (2) +#270: '0' (2) +#271: '0' (2) +#272: '0' (2) +#273: '0' (2) +#274: '0' (2) +#275: '1' (3) diff --git a/testsuite/gna/issue339/testsuite.sh b/testsuite/gna/issue339/testsuite.sh index 0422b0b91..bb1dfbc9d 100755 --- a/testsuite/gna/issue339/testsuite.sh +++ b/testsuite/gna/issue339/testsuite.sh @@ -7,12 +7,13 @@ analyze test_pkg.vhdl test_bench.vhdl elab test_bench if ghdl_has_feature test_bench ghw; then simulate test_bench --stop-time=700ns --wave=w.ghw + ghw_diff w + rm -f w.txt w.ghw else simulate test_bench --stop-time=700ns fi clean -rm -f w.ghw rm -f output.txt echo "Test successful" diff --git a/testsuite/gna/issue371/golden_sim.txt b/testsuite/gna/issue371/golden_sim.txt new file mode 100644 index 000000000..39efed287 --- /dev/null +++ b/testsuite/gna/issue371/golden_sim.txt @@ -0,0 +1,67 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +subtype std_logic is std_ulogic range 'U' to '-'; +type character is (nul, soh, stx, etx, eot, enq, ack, bel, bs, ht, lf, vt, ff, cr, so, si, dle, dc1, dc2, dc3, dc4, nak, syn, etb, can, em, sub, esc, fsp, gsp, rsp, usp, ' ', '!', '"', '#', '$', '%', '&', ''', '(', ')', '*', '+', ',', '-', '.', '/', '0', '1', '2', '3', '4', '5', '6', '7', '8', '9', ':', ';', '<', '=', '>', '?', '@', 'A', 'B', 'C', 'D', 'E', 'F', 'G', 'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O', 'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W', 'X', 'Y', 'Z', '[', '\', ']', '^', '_', '`', 'a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o', 'p', 'q', 'r', 's', 't', 'u', 'v', 'w', 'x', 'y', 'z', '{', '|', '}', '~', del, c128, c129, c130, c131, c132, c133, c134, c135, c136, c137, c138, c139, c140, c141, c142, c143, c144, c145, c146, c147, c148, c149, c150, c151, c152, c153, c154, c155, c156, c157, c158, c159, ' ', '¡', '¢', '£', '¤', '¥', '¦', '§', '¨', '©', 'ª', '«', '¬', '', '®', '¯', '°', '±', '²', '³', '´', 'µ', '¶', '·', '¸', '¹', 'º', '»', '¼', '½', '¾', '¿', 'À', 'Á', 'Â', 'Ã', 'Ä', 'Å', 'Æ', 'Ç', 'È', 'É', 'Ê', 'Ë', 'Ì', 'Í', 'Î', 'Ï', 'Ð', 'Ñ', 'Ò', 'Ó', 'Ô', 'Õ', 'Ö', '×', 'Ø', 'Ù', 'Ú', 'Û', 'Ü', 'Ý', 'Þ', 'ß', 'à', 'á', 'â', 'ã', 'ä', 'å', 'æ', 'ç', 'è', 'é', 'ê', 'ë', 'ì', 'í', 'î', 'ï', 'ð', 'ñ', 'ò', 'ó', 'ô', 'õ', 'ö', '÷', 'ø', 'ù', 'ú', 'û', 'ü', 'ý', 'þ', 'ÿ'); +type integer is range <>; +subtype positive is integer range 1 to 2147483647; +type string is array (positive range <>) of character; +design + package standard: + package std_logic_1164: + package numeric_std: + instance test_string: + signal clk: std_logic: #1 + signal test: string (1 to 11): #2-#12 + process P0: + process p_test: +Time is 0 fs +#1: '1' (3) +#2: 'H' (72) +#3: 'e' (101) +#4: 'l' (108) +#5: 'l' (108) +#6: 'o' (111) +#7: ' ' (32) +#8: 'W' (87) +#9: 'o' (111) +#10: 'r' (114) +#11: 'l' (108) +#12: 'd' (100) +Time is 0 fs +#1: '1' (3) +#2: 'H' (72) +#3: 'e' (101) +#4: 'l' (108) +#5: 'l' (108) +#6: 'o' (111) +#7: ' ' (32) +#8: 'W' (87) +#9: 'o' (111) +#10: 'r' (114) +#11: 'l' (108) +#12: 'd' (100) +Time is 10000000 fs +#1: '0' (2) +#2: 'H' (72) +#3: 'e' (101) +#4: 'l' (108) +#5: 'l' (108) +#6: 'o' (111) +#7: ' ' (32) +#8: 'W' (87) +#9: 'o' (111) +#10: 'r' (114) +#11: 'l' (108) +#12: 'd' (100) +Time is 20000000 fs +#1: '1' (3) +#2: 'H' (72) +#3: 'e' (101) +#4: 'l' (108) +#5: 'l' (108) +#6: 'o' (111) +#7: ' ' (32) +#8: 'W' (87) +#9: 'o' (111) +#10: 'r' (114) +#11: 'l' (108) +#12: 'd' (100) diff --git a/testsuite/gna/issue371/testsuite.sh b/testsuite/gna/issue371/testsuite.sh index 147ab74c4..daa633a6c 100755 --- a/testsuite/gna/issue371/testsuite.sh +++ b/testsuite/gna/issue371/testsuite.sh @@ -7,12 +7,10 @@ elab test_string if ghdl_has_feature test_string ghw; then simulate test_string --wave=sim.ghw --stop-time=20ns + ghw_diff sim + rm -f sim.txt sim.ghw fi clean -if [ $# -eq 0 ]; then - rm -f sim.ghw -fi - echo "Test successful" diff --git a/testsuite/gna/issue418/golden_repro.txt b/testsuite/gna/issue418/golden_repro.txt new file mode 100644 index 000000000..9b1f2950a --- /dev/null +++ b/testsuite/gna/issue418/golden_repro.txt @@ -0,0 +1,509 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type std_ulogic_vector is array (natural range <>) of std_ulogic; +subtype std_logic_vector is std_ulogic_vector; +subtype std_logic is std_ulogic range 'U' to '-'; +type t_axilite_write_address_channel is record + awaddr: std_logic_vector; + awvalid: std_logic; + awprot: std_ulogic_vector (2 downto 0); + awready: std_logic; +end record; +type t_axilite_write_data_channel is record + wdata: std_logic_vector; + wstrb: std_logic_vector; + wvalid: std_logic; + wready: std_logic; +end record; +type t_axilite_write_response_channel is record + bready: std_logic; + bresp: std_ulogic_vector (1 downto 0); + bvalid: std_logic; +end record; +type t_axilite_read_address_channel is record + araddr: std_logic_vector; + arvalid: std_logic; + arprot: std_ulogic_vector (2 downto 0); + arready: std_logic; +end record; +type t_axilite_read_data_channel is record + rready: std_logic; + rdata: std_logic_vector; + rresp: std_ulogic_vector (1 downto 0); + rvalid: std_logic; +end record; +type t_axilite_if is record + write_address_channel: t_axilite_write_address_channel; + write_data_channel: t_axilite_write_data_channel; + write_response_channel: t_axilite_write_response_channel; + read_address_channel: t_axilite_read_address_channel; + read_data_channel: t_axilite_read_data_channel; +end record; +subtype st_axilite_32 is t_axilite_if(write_address_channel(awaddr (31 downto 0)), write_data_channel(wdata (31 downto 0), wstrb (3 downto 0)), read_address_channel(araddr (31 downto 0)), read_data_channel(rdata (31 downto 0))); +design + package standard: + package textio: + package std_logic_1164: + instance repro: + signal s: st_axilite_32: #1-#152 + process P0: +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: 'U' (0) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +Time is 0 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: 'U' (0) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +Time is 2000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '1' (3) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '1' (3) +#18: '1' (3) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '1' (3) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '1' (3) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: 'U' (0) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) diff --git a/testsuite/gna/issue418/golden_repro2.txt b/testsuite/gna/issue418/golden_repro2.txt new file mode 100644 index 000000000..8ae159fcf --- /dev/null +++ b/testsuite/gna/issue418/golden_repro2.txt @@ -0,0 +1,188 @@ +type bit is ('0', '1'); -- WKT:2 +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type bit_vector is array (natural range <>) of bit; +type address_channel is record + awaddr: bit_vector; + awvalid: bit; +end record; +type t_if is record + write_channel: address_channel; +end record; +subtype st_if_32 is t_if(write_channel(awaddr (31 downto 0))); +design + package standard: + instance repro2: + signal s: st_if_32: #1-#33 + process P0: + process P1: +Time is 0 fs +#1: '0' (0) +#2: '0' (0) +#3: '0' (0) +#4: '0' (0) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) +#9: '0' (0) +#10: '0' (0) +#11: '0' (0) +#12: '0' (0) +#13: '0' (0) +#14: '0' (0) +#15: '0' (0) +#16: '0' (0) +#17: '0' (0) +#18: '0' (0) +#19: '0' (0) +#20: '0' (0) +#21: '0' (0) +#22: '0' (0) +#23: '0' (0) +#24: '0' (0) +#25: '0' (0) +#26: '0' (0) +#27: '0' (0) +#28: '0' (0) +#29: '0' (0) +#30: '0' (0) +#31: '0' (0) +#32: '0' (0) +#33: '0' (0) +Time is 0 fs +#1: '0' (0) +#2: '0' (0) +#3: '0' (0) +#4: '0' (0) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) +#9: '0' (0) +#10: '0' (0) +#11: '0' (0) +#12: '0' (0) +#13: '0' (0) +#14: '0' (0) +#15: '0' (0) +#16: '0' (0) +#17: '0' (0) +#18: '0' (0) +#19: '0' (0) +#20: '1' (1) +#21: '0' (0) +#22: '0' (0) +#23: '0' (0) +#24: '0' (0) +#25: '0' (0) +#26: '0' (0) +#27: '0' (0) +#28: '0' (0) +#29: '0' (0) +#30: '0' (0) +#31: '0' (0) +#32: '0' (0) +#33: '0' (0) +Time is 1000000 fs +#1: '0' (0) +#2: '0' (0) +#3: '0' (0) +#4: '0' (0) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) +#9: '0' (0) +#10: '0' (0) +#11: '0' (0) +#12: '0' (0) +#13: '0' (0) +#14: '0' (0) +#15: '0' (0) +#16: '0' (0) +#17: '0' (0) +#18: '0' (0) +#19: '0' (0) +#20: '1' (1) +#21: '0' (0) +#22: '0' (0) +#23: '0' (0) +#24: '0' (0) +#25: '0' (0) +#26: '0' (0) +#27: '0' (0) +#28: '0' (0) +#29: '0' (0) +#30: '0' (0) +#31: '0' (0) +#32: '0' (0) +#33: '0' (0) +Time is 2000000 fs +#1: '0' (0) +#2: '0' (0) +#3: '0' (0) +#4: '1' (1) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) +#9: '0' (0) +#10: '0' (0) +#11: '0' (0) +#12: '0' (0) +#13: '0' (0) +#14: '0' (0) +#15: '0' (0) +#16: '0' (0) +#17: '1' (1) +#18: '1' (1) +#19: '1' (1) +#20: '1' (1) +#21: '1' (1) +#22: '1' (1) +#23: '1' (1) +#24: '1' (1) +#25: '1' (1) +#26: '1' (1) +#27: '1' (1) +#28: '1' (1) +#29: '1' (1) +#30: '1' (1) +#31: '1' (1) +#32: '1' (1) +#33: '0' (0) +Time is 3000000 fs +#1: '0' (0) +#2: '0' (0) +#3: '0' (0) +#4: '1' (1) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) +#9: '0' (0) +#10: '0' (0) +#11: '0' (0) +#12: '0' (0) +#13: '0' (0) +#14: '0' (0) +#15: '0' (0) +#16: '0' (0) +#17: '1' (1) +#18: '1' (1) +#19: '1' (1) +#20: '1' (1) +#21: '1' (1) +#22: '1' (1) +#23: '1' (1) +#24: '1' (1) +#25: '1' (1) +#26: '1' (1) +#27: '1' (1) +#28: '1' (1) +#29: '1' (1) +#30: '1' (1) +#31: '1' (1) +#32: '1' (1) +#33: '0' (0) diff --git a/testsuite/gna/issue418/golden_tc749.txt b/testsuite/gna/issue418/golden_tc749.txt new file mode 100644 index 000000000..1b3ea1eae --- /dev/null +++ b/testsuite/gna/issue418/golden_tc749.txt @@ -0,0 +1,22497 @@ +type boolean is (false, true); -- WKT:1 +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type boolean_vector is array (natural range <>) of boolean; +type severity_level is (note, warning, error, failure); +type severity_level_vector is array (natural range <>) of severity_level; +subtype integer is integer range -2147483648 to 2147483647; +type integer_vector is array (natural range <>) of integer; +type real is range <>; +subtype real is real range -1.79769e+308 to 1.79769e+308; +type real_vector is array (natural range <>) of real; +type time is range <> units + fs = 1 fs; + ps = 1000 fs; + ns = 1000000 fs; + us = 1000000000 fs; + ms = 1000000000000 fs; + sec = 1000000000000000 fs; + min = 60000000000000000 fs; + hr = 3600000000000000000 fs; +end units +subtype time is time range -9223372036854775808 to 9223372036854775807; +type time_vector is array (natural range <>) of time; +type natural_vector is array (natural range <>) of natural; +subtype positive is integer range 1 to 2147483647; +type positive_vector is array (natural range <>) of positive; +type boolean_cons_vector is array (integer range <>) of boolean; +subtype boolean_cons_vector is boolean_cons_vector (15 downto 0); +type severity_level_cons_vector is array (integer range <>) of severity_level; +subtype severity_level_cons_vector is severity_level_cons_vector (15 downto 0); +type integer_cons_vector is array (integer range <>) of integer; +subtype integer_cons_vector is integer_cons_vector (15 downto 0); +type real_cons_vector is array (integer range <>) of real; +subtype real_cons_vector is real_cons_vector (15 downto 0); +type time_cons_vector is array (integer range <>) of time; +subtype time_cons_vector is time_cons_vector (15 downto 0); +type natural_cons_vector is array (integer range <>) of natural; +subtype natural_cons_vector is natural_cons_vector (15 downto 0); +type positive_cons_vector is array (integer range <>) of positive; +subtype positive_cons_vector is positive_cons_vector (15 downto 0); +type boolean_cons_vectorofvector is array (integer range <>) of boolean_cons_vector; +subtype boolean_cons_vectorofvector is boolean_cons_vectorofvector (0 to 15); +type severity_level_cons_vectorofvector is array (integer range <>) of severity_level_cons_vector; +subtype severity_level_cons_vectorofvector is severity_level_cons_vectorofvector (0 to 15); +type integer_cons_vectorofvector is array (integer range <>) of integer_cons_vector; +subtype integer_cons_vectorofvector is integer_cons_vectorofvector (0 to 15); +type real_cons_vectorofvector is array (integer range <>) of real_cons_vector; +subtype real_cons_vectorofvector is real_cons_vectorofvector (0 to 15); +type time_cons_vectorofvector is array (integer range <>) of time_cons_vector; +subtype time_cons_vectorofvector is time_cons_vectorofvector (0 to 15); +type natural_cons_vectorofvector is array (integer range <>) of natural_cons_vector; +subtype natural_cons_vectorofvector is natural_cons_vectorofvector (0 to 15); +type positive_cons_vectorofvector is array (integer range <>) of positive_cons_vector; +subtype positive_cons_vectorofvector is positive_cons_vectorofvector (0 to 15); +type bit is ('0', '1'); -- WKT:2 +type character is (nul, soh, stx, etx, eot, enq, ack, bel, bs, ht, lf, vt, ff, cr, so, si, dle, dc1, dc2, dc3, dc4, nak, syn, etb, can, em, sub, esc, fsp, gsp, rsp, usp, ' ', '!', '"', '#', '$', '%', '&', ''', '(', ')', '*', '+', ',', '-', '.', '/', '0', '1', '2', '3', '4', '5', '6', '7', '8', '9', ':', ';', '<', '=', '>', '?', '@', 'A', 'B', 'C', 'D', 'E', 'F', 'G', 'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O', 'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W', 'X', 'Y', 'Z', '[', '\', ']', '^', '_', '`', 'a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o', 'p', 'q', 'r', 's', 't', 'u', 'v', 'w', 'x', 'y', 'z', '{', '|', '}', '~', del, c128, c129, c130, c131, c132, c133, c134, c135, c136, c137, c138, c139, c140, c141, c142, c143, c144, c145, c146, c147, c148, c149, c150, c151, c152, c153, c154, c155, c156, c157, c158, c159, ' ', '¡', '¢', '£', '¤', '¥', '¦', '§', '¨', '©', 'ª', '«', '¬', '', '®', '¯', '°', '±', '²', '³', '´', 'µ', '¶', '·', '¸', '¹', 'º', '»', '¼', '½', '¾', '¿', 'À', 'Á', 'Â', 'Ã', 'Ä', 'Å', 'Æ', 'Ç', 'È', 'É', 'Ê', 'Ë', 'Ì', 'Í', 'Î', 'Ï', 'Ð', 'Ñ', 'Ò', 'Ó', 'Ô', 'Õ', 'Ö', '×', 'Ø', 'Ù', 'Ú', 'Û', 'Ü', 'Ý', 'Þ', 'ß', 'à', 'á', 'â', 'ã', 'ä', 'å', 'æ', 'ç', 'è', 'é', 'ê', 'ë', 'ì', 'í', 'î', 'ï', 'ð', 'ñ', 'ò', 'ó', 'ô', 'õ', 'ö', '÷', 'ø', 'ù', 'ú', 'û', 'ü', 'ý', 'þ', 'ÿ'); +type string is array (positive range <>) of character; +type bit_vector is array (natural range <>) of bit; +type record_std_package is record + a: boolean; + b: bit; + c: character; + d: severity_level; + e: integer; + f: real; + g: time; + h: natural; + i: positive; + j: string (1 to 7); + k: bit_vector (0 to 3); +end record; +type record_cons_array is record + a: boolean_cons_vector; + b: severity_level_cons_vector; + c: integer_cons_vector; + d: real_cons_vector; + e: time_cons_vector; + f: natural_cons_vector; + g: positive_cons_vector; +end record; +type record_cons_arrayofarray is record + a: boolean_cons_vectorofvector; + b: severity_level_cons_vectorofvector; + c: integer_cons_vectorofvector; + d: real_cons_vectorofvector; + e: time_cons_vectorofvector; + f: natural_cons_vectorofvector; + g: positive_cons_vectorofvector; +end record; +subtype boolean_vector_st is boolean_vector (0 to 15); +subtype severity_level_vector_st is severity_level_vector (0 to 15); +subtype integer_vector_st is integer_vector (0 to 15); +subtype real_vector_st is real_vector (0 to 15); +subtype time_vector_st is time_vector (0 to 15); +subtype natural_vector_st is natural_vector (0 to 15); +subtype positive_vector_st is positive_vector (0 to 15); +type record_array_st is record + a: boolean_vector_st; + b: severity_level_vector_st; + c: integer_vector_st; + d: real_vector_st; + e: time_vector_st; + f: natural_vector_st; + g: positive_vector_st; +end record; +type record_array_new is record + a: boolean_vector (0 to 15); + b: severity_level_vector (0 to 15); + c: integer_vector (0 to 15); + d: real_vector (0 to 15); + e: time_vector (0 to 15); + f: natural_vector (0 to 15); + g: positive_vector (0 to 15); +end record; +type record_of_records is record + a: record_std_package; + c: record_cons_array; + g: record_cons_arrayofarray; + i: record_array_st; + j: record_array_new; +end record; +type array_rec_cons is array (integer range <>) of record_cons_array; +design + package standard: + instance tc749: + signal v1: boolean_vector (0 to 15): #1-#16 + signal v2: severity_level_vector (0 to 15): #17-#32 + signal v3: integer_vector (0 to 15): #33-#48 + signal v4: real_vector (0 to 15): #49-#64 + signal v5: time_vector (0 to 15): #65-#80 + signal v6: natural_vector (0 to 15): #81-#96 + signal v7: positive_vector (0 to 15): #97-#112 + signal v8: boolean_cons_vector: #113-#128 + signal v9: severity_level_cons_vector: #129-#144 + signal v10: integer_cons_vector: #145-#160 + signal v11: real_cons_vector: #161-#176 + signal v12: time_cons_vector: #177-#192 + signal v13: natural_cons_vector: #193-#208 + signal v14: positive_cons_vector: #209-#224 + signal v15: boolean_cons_vectorofvector: #225-#480 + signal v16: severity_level_cons_vectorofvector: #481-#736 + signal v17: integer_cons_vectorofvector: #737-#992 + signal v18: real_cons_vectorofvector: #993-#1248 + signal v19: time_cons_vectorofvector: #1249-#1504 + signal v20: natural_cons_vectorofvector: #1505-#1760 + signal v21: positive_cons_vectorofvector: #1761-#2016 + signal v22: record_std_package: #2017-#2036 + signal v23: record_cons_array: #2037-#2148 + signal v24: record_cons_arrayofarray: #2149-#3940 + signal v25: boolean_vector_st: #3941-#3956 + signal v26: severity_level_vector_st: #3957-#3972 + signal v27: integer_vector_st: #3973-#3988 + signal v28: real_vector_st: #3989-#4004 + signal v29: time_vector_st: #4005-#4020 + signal v30: natural_vector_st: #4021-#4036 + signal v31: positive_vector_st: #4037-#4052 + signal v32: record_array_st: #4053-#4164 + signal v33: record_array_st: #4165-#4276 + signal v34: record_array_new: #4277-#4388 + signal v35: record_of_records: #4389-#6536 + signal v49: array_rec_cons (0 to 7): #6537-#7432 + process P0: + process P1: + process P2: + process P3: + process P4: + process P5: + process P6: + process P7: + process P8: + process P9: + process P10: + process P11: + process P12: + process P13: + process P14: + process P15: + process P16: + process P17: + process P18: + process P19: + process P20: + process P21: + process P22: + process P23: + process P24: + process P25: + process P26: + process P27: + process P28: + process P29: + process P30: + process P31: + process P32: + process P33: + process P34: + process P35: + process testing: +Time is 0 fs +#1: false (0) +#2: false (0) +#3: false (0) +#4: false (0) +#5: false (0) +#6: false (0) +#7: false (0) +#8: false (0) +#9: false (0) +#10: false (0) +#11: false (0) +#12: false (0) +#13: false (0) +#14: false (0) +#15: false (0) +#16: false (0) +#17: note (0) +#18: note (0) +#19: note (0) +#20: note (0) +#21: note (0) +#22: note (0) +#23: note (0) +#24: note (0) +#25: note (0) +#26: note (0) +#27: note (0) +#28: note (0) +#29: note (0) +#30: note (0) +#31: note (0) +#32: note (0) +#33: -2147483648 +#34: -2147483648 +#35: -2147483648 +#36: -2147483648 +#37: -2147483648 +#38: -2147483648 +#39: -2147483648 +#40: -2147483648 +#41: -2147483648 +#42: -2147483648 +#43: -2147483648 +#44: -2147483648 +#45: -2147483648 +#46: -2147483648 +#47: -2147483648 +#48: -2147483648 +#49: -1.79769e+308 +#50: -1.79769e+308 +#51: -1.79769e+308 +#52: -1.79769e+308 +#53: -1.79769e+308 +#54: -1.79769e+308 +#55: -1.79769e+308 +#56: -1.79769e+308 +#57: -1.79769e+308 +#58: -1.79769e+308 +#59: -1.79769e+308 +#60: -1.79769e+308 +#61: -1.79769e+308 +#62: -1.79769e+308 +#63: -1.79769e+308 +#64: -1.79769e+308 +#65: -9223372036854775808 +#66: -9223372036854775808 +#67: -9223372036854775808 +#68: -9223372036854775808 +#69: -9223372036854775808 +#70: -9223372036854775808 +#71: -9223372036854775808 +#72: -9223372036854775808 +#73: -9223372036854775808 +#74: -9223372036854775808 +#75: -9223372036854775808 +#76: -9223372036854775808 +#77: -9223372036854775808 +#78: -9223372036854775808 +#79: -9223372036854775808 +#80: -9223372036854775808 +#81: 0 +#82: 0 +#83: 0 +#84: 0 +#85: 0 +#86: 0 +#87: 0 +#88: 0 +#89: 0 +#90: 0 +#91: 0 +#92: 0 +#93: 0 +#94: 0 +#95: 0 +#96: 0 +#97: 1 +#98: 1 +#99: 1 +#100: 1 +#101: 1 +#102: 1 +#103: 1 +#104: 1 +#105: 1 +#106: 1 +#107: 1 +#108: 1 +#109: 1 +#110: 1 +#111: 1 +#112: 1 +#113: false (0) +#114: false (0) +#115: false (0) +#116: false (0) +#117: false (0) +#118: false (0) +#119: false (0) +#120: false (0) +#121: false (0) +#122: false (0) +#123: false (0) +#124: false (0) +#125: false (0) +#126: false (0) +#127: false (0) +#128: false (0) +#129: note (0) +#130: note (0) +#131: note (0) +#132: note (0) +#133: note (0) +#134: note (0) +#135: note (0) +#136: note (0) +#137: note (0) +#138: note (0) +#139: note (0) +#140: note (0) +#141: note (0) +#142: note (0) +#143: note (0) +#144: note (0) +#145: -2147483648 +#146: -2147483648 +#147: -2147483648 +#148: -2147483648 +#149: -2147483648 +#150: -2147483648 +#151: -2147483648 +#152: -2147483648 +#153: -2147483648 +#154: -2147483648 +#155: -2147483648 +#156: -2147483648 +#157: -2147483648 +#158: -2147483648 +#159: -2147483648 +#160: -2147483648 +#161: -1.79769e+308 +#162: -1.79769e+308 +#163: -1.79769e+308 +#164: -1.79769e+308 +#165: -1.79769e+308 +#166: -1.79769e+308 +#167: -1.79769e+308 +#168: -1.79769e+308 +#169: -1.79769e+308 +#170: -1.79769e+308 +#171: -1.79769e+308 +#172: -1.79769e+308 +#173: -1.79769e+308 +#174: -1.79769e+308 +#175: -1.79769e+308 +#176: -1.79769e+308 +#177: -9223372036854775808 +#178: -9223372036854775808 +#179: -9223372036854775808 +#180: -9223372036854775808 +#181: -9223372036854775808 +#182: -9223372036854775808 +#183: -9223372036854775808 +#184: -9223372036854775808 +#185: -9223372036854775808 +#186: -9223372036854775808 +#187: -9223372036854775808 +#188: -9223372036854775808 +#189: -9223372036854775808 +#190: -9223372036854775808 +#191: -9223372036854775808 +#192: -9223372036854775808 +#193: 0 +#194: 0 +#195: 0 +#196: 0 +#197: 0 +#198: 0 +#199: 0 +#200: 0 +#201: 0 +#202: 0 +#203: 0 +#204: 0 +#205: 0 +#206: 0 +#207: 0 +#208: 0 +#209: 1 +#210: 1 +#211: 1 +#212: 1 +#213: 1 +#214: 1 +#215: 1 +#216: 1 +#217: 1 +#218: 1 +#219: 1 +#220: 1 +#221: 1 +#222: 1 +#223: 1 +#224: 1 +#225: false (0) +#226: false (0) +#227: false (0) +#228: false (0) +#229: false (0) +#230: false (0) +#231: false (0) +#232: false (0) +#233: false (0) +#234: false (0) +#235: false (0) +#236: false (0) +#237: false (0) +#238: false (0) +#239: false (0) +#240: false (0) +#241: false (0) +#242: false (0) +#243: false (0) +#244: false (0) +#245: false (0) +#246: false (0) +#247: false (0) +#248: false (0) +#249: false (0) +#250: false (0) +#251: false (0) +#252: false (0) +#253: false (0) +#254: false (0) +#255: false (0) +#256: false (0) +#257: false (0) +#258: false (0) +#259: false (0) +#260: false (0) +#261: false (0) +#262: false (0) +#263: false (0) +#264: false (0) +#265: false (0) +#266: false (0) +#267: false (0) +#268: false (0) +#269: false (0) +#270: false (0) +#271: false (0) +#272: false (0) +#273: false (0) +#274: false (0) +#275: false (0) +#276: false (0) +#277: false (0) +#278: false (0) +#279: false (0) +#280: false (0) +#281: false (0) +#282: false (0) +#283: false (0) +#284: false (0) +#285: false (0) +#286: false (0) +#287: false (0) +#288: false (0) +#289: false (0) +#290: false (0) +#291: false (0) +#292: false (0) +#293: false (0) +#294: false (0) +#295: false (0) +#296: false (0) +#297: false (0) +#298: false (0) +#299: false (0) +#300: false (0) +#301: false (0) +#302: false (0) +#303: false (0) +#304: false (0) +#305: false (0) +#306: false (0) +#307: false (0) +#308: false (0) +#309: false (0) +#310: false (0) +#311: false (0) +#312: false (0) +#313: false (0) +#314: false (0) +#315: false (0) +#316: false (0) +#317: false (0) +#318: false (0) +#319: false (0) +#320: false (0) +#321: false (0) +#322: false (0) +#323: false (0) +#324: false (0) +#325: false (0) +#326: false (0) +#327: false (0) +#328: false (0) +#329: false (0) +#330: false (0) +#331: false (0) +#332: false (0) +#333: false (0) +#334: false (0) +#335: false (0) +#336: false (0) +#337: false (0) +#338: false (0) +#339: false (0) +#340: false (0) +#341: false (0) +#342: false (0) +#343: false (0) +#344: false (0) +#345: false (0) +#346: false (0) +#347: false (0) +#348: false (0) +#349: false (0) +#350: false (0) +#351: false (0) +#352: false (0) +#353: false (0) +#354: false (0) +#355: false (0) +#356: false (0) +#357: false (0) +#358: false (0) +#359: false (0) +#360: false (0) +#361: false (0) +#362: false (0) +#363: false (0) +#364: false (0) +#365: false (0) +#366: false (0) +#367: false (0) +#368: false (0) +#369: false (0) +#370: false (0) +#371: false (0) +#372: false (0) +#373: false (0) +#374: false (0) +#375: false (0) +#376: false (0) +#377: false (0) +#378: false (0) +#379: false (0) +#380: false (0) +#381: false (0) +#382: false (0) +#383: false (0) +#384: false (0) +#385: false (0) +#386: false (0) +#387: false (0) +#388: false (0) +#389: false (0) +#390: false (0) +#391: false (0) +#392: false (0) +#393: false (0) +#394: false (0) +#395: false (0) +#396: false (0) +#397: false (0) +#398: false (0) +#399: false (0) +#400: false (0) +#401: false (0) +#402: false (0) +#403: false (0) +#404: false (0) +#405: false (0) +#406: false (0) +#407: false (0) +#408: false (0) +#409: false (0) +#410: false (0) +#411: false (0) +#412: false (0) +#413: false (0) +#414: false (0) +#415: false (0) +#416: false (0) +#417: false (0) +#418: false (0) +#419: false (0) +#420: false (0) +#421: false (0) +#422: false (0) +#423: false (0) +#424: false (0) +#425: false (0) +#426: false (0) +#427: false (0) +#428: false (0) +#429: false (0) +#430: false (0) +#431: false (0) +#432: false (0) +#433: false (0) +#434: false (0) +#435: false (0) +#436: false (0) +#437: false (0) +#438: false (0) +#439: false (0) +#440: false (0) +#441: false (0) +#442: false (0) +#443: false (0) +#444: false (0) +#445: false (0) +#446: false (0) +#447: false (0) +#448: false (0) +#449: false (0) +#450: false (0) +#451: false (0) +#452: false (0) +#453: false (0) +#454: false (0) +#455: false (0) +#456: false (0) +#457: false (0) +#458: false (0) +#459: false (0) +#460: false (0) +#461: false (0) +#462: false (0) +#463: false (0) +#464: false (0) +#465: false (0) +#466: false (0) +#467: false (0) +#468: false (0) +#469: false (0) +#470: false (0) +#471: false (0) +#472: false (0) +#473: false (0) +#474: false (0) +#475: false (0) +#476: false (0) +#477: false (0) +#478: false (0) +#479: false (0) +#480: false (0) +#481: note (0) +#482: note (0) +#483: note (0) +#484: note (0) +#485: note (0) +#486: note (0) +#487: note (0) +#488: note (0) +#489: note (0) +#490: note (0) +#491: note (0) +#492: note (0) +#493: note (0) +#494: note (0) +#495: note (0) +#496: note (0) +#497: note (0) +#498: note (0) +#499: note (0) +#500: note (0) +#501: note (0) +#502: note (0) +#503: note (0) +#504: note (0) +#505: note (0) +#506: note (0) +#507: note (0) +#508: note (0) +#509: note (0) +#510: note (0) +#511: note (0) +#512: note (0) +#513: note (0) +#514: note (0) +#515: note (0) +#516: note (0) +#517: note (0) +#518: note (0) +#519: note (0) +#520: note (0) +#521: note (0) +#522: note (0) +#523: note (0) +#524: note (0) +#525: note (0) +#526: note (0) +#527: note (0) +#528: note (0) +#529: note (0) +#530: note (0) +#531: note (0) +#532: note (0) +#533: note (0) +#534: note (0) +#535: note (0) +#536: note (0) +#537: note (0) +#538: note (0) +#539: note (0) +#540: note (0) +#541: note (0) +#542: note (0) +#543: note (0) +#544: note (0) +#545: note (0) +#546: note (0) +#547: note (0) +#548: note (0) +#549: note (0) +#550: note (0) +#551: note (0) +#552: note (0) +#553: note (0) +#554: note (0) +#555: note (0) +#556: note (0) +#557: note (0) +#558: note (0) +#559: note (0) +#560: note (0) +#561: note (0) +#562: note (0) +#563: note (0) +#564: note (0) +#565: note (0) +#566: note (0) +#567: note (0) +#568: note (0) +#569: note (0) +#570: note (0) +#571: note (0) +#572: note (0) +#573: note (0) +#574: note (0) +#575: note (0) +#576: note (0) +#577: note (0) +#578: note (0) +#579: note (0) +#580: note (0) +#581: note (0) +#582: note (0) +#583: note (0) +#584: note (0) +#585: note (0) +#586: note (0) +#587: note (0) +#588: note (0) +#589: note (0) +#590: note (0) +#591: note (0) +#592: note (0) +#593: note (0) +#594: note (0) +#595: note (0) +#596: note (0) +#597: note (0) +#598: note (0) +#599: note (0) +#600: note (0) +#601: note (0) +#602: note (0) +#603: note (0) +#604: note (0) +#605: note (0) +#606: note (0) +#607: note (0) +#608: note (0) +#609: note (0) +#610: note (0) +#611: note (0) +#612: note (0) +#613: note (0) +#614: note (0) +#615: note (0) +#616: note (0) +#617: note (0) +#618: note (0) +#619: note (0) +#620: note (0) +#621: note (0) +#622: note (0) +#623: note (0) +#624: note (0) +#625: note (0) +#626: note (0) +#627: note (0) +#628: note (0) +#629: note (0) +#630: note (0) +#631: note (0) +#632: note (0) +#633: note (0) +#634: note (0) +#635: note (0) +#636: note (0) +#637: note (0) +#638: note (0) +#639: note (0) +#640: note (0) +#641: note (0) +#642: note (0) +#643: note (0) +#644: note (0) +#645: note (0) +#646: note (0) +#647: note (0) +#648: note (0) +#649: note (0) +#650: note (0) +#651: note (0) +#652: note (0) +#653: note (0) +#654: note (0) +#655: note (0) +#656: note (0) +#657: note (0) +#658: note (0) +#659: note (0) +#660: note (0) +#661: note (0) +#662: note (0) +#663: note (0) +#664: note (0) +#665: note (0) +#666: note (0) +#667: note (0) +#668: note (0) +#669: note (0) +#670: note (0) +#671: note (0) +#672: note (0) +#673: note (0) +#674: note (0) +#675: note (0) +#676: note (0) +#677: note (0) +#678: note (0) +#679: note (0) +#680: note (0) +#681: note (0) +#682: note (0) +#683: note (0) +#684: note (0) +#685: note (0) +#686: note (0) +#687: note (0) +#688: note (0) +#689: note (0) +#690: note (0) +#691: note (0) +#692: note (0) +#693: note (0) +#694: note (0) +#695: note (0) +#696: note (0) +#697: note (0) +#698: note (0) +#699: note (0) +#700: note (0) +#701: note (0) +#702: note (0) +#703: note (0) +#704: note (0) +#705: note (0) +#706: note (0) +#707: note (0) +#708: note (0) +#709: note (0) +#710: note (0) +#711: note (0) +#712: note (0) +#713: note (0) +#714: note (0) +#715: note (0) +#716: note (0) +#717: note (0) +#718: note (0) +#719: note (0) +#720: note (0) +#721: note (0) +#722: note (0) +#723: note (0) +#724: note (0) +#725: note (0) +#726: note (0) +#727: note (0) +#728: note (0) +#729: note (0) +#730: note (0) +#731: note (0) +#732: note (0) +#733: note (0) +#734: note (0) +#735: note (0) +#736: note (0) +#737: -2147483648 +#738: -2147483648 +#739: -2147483648 +#740: -2147483648 +#741: -2147483648 +#742: -2147483648 +#743: -2147483648 +#744: -2147483648 +#745: -2147483648 +#746: -2147483648 +#747: -2147483648 +#748: -2147483648 +#749: -2147483648 +#750: -2147483648 +#751: -2147483648 +#752: -2147483648 +#753: -2147483648 +#754: -2147483648 +#755: -2147483648 +#756: -2147483648 +#757: -2147483648 +#758: -2147483648 +#759: -2147483648 +#760: -2147483648 +#761: -2147483648 +#762: -2147483648 +#763: -2147483648 +#764: -2147483648 +#765: -2147483648 +#766: -2147483648 +#767: -2147483648 +#768: -2147483648 +#769: -2147483648 +#770: -2147483648 +#771: -2147483648 +#772: -2147483648 +#773: -2147483648 +#774: -2147483648 +#775: -2147483648 +#776: -2147483648 +#777: -2147483648 +#778: -2147483648 +#779: -2147483648 +#780: -2147483648 +#781: -2147483648 +#782: -2147483648 +#783: -2147483648 +#784: -2147483648 +#785: -2147483648 +#786: -2147483648 +#787: -2147483648 +#788: -2147483648 +#789: -2147483648 +#790: -2147483648 +#791: -2147483648 +#792: -2147483648 +#793: -2147483648 +#794: -2147483648 +#795: -2147483648 +#796: -2147483648 +#797: -2147483648 +#798: -2147483648 +#799: -2147483648 +#800: -2147483648 +#801: -2147483648 +#802: -2147483648 +#803: -2147483648 +#804: -2147483648 +#805: -2147483648 +#806: -2147483648 +#807: -2147483648 +#808: -2147483648 +#809: -2147483648 +#810: -2147483648 +#811: -2147483648 +#812: -2147483648 +#813: -2147483648 +#814: -2147483648 +#815: -2147483648 +#816: -2147483648 +#817: -2147483648 +#818: -2147483648 +#819: -2147483648 +#820: -2147483648 +#821: -2147483648 +#822: -2147483648 +#823: -2147483648 +#824: -2147483648 +#825: -2147483648 +#826: -2147483648 +#827: -2147483648 +#828: -2147483648 +#829: -2147483648 +#830: -2147483648 +#831: -2147483648 +#832: -2147483648 +#833: -2147483648 +#834: -2147483648 +#835: -2147483648 +#836: -2147483648 +#837: -2147483648 +#838: -2147483648 +#839: -2147483648 +#840: -2147483648 +#841: -2147483648 +#842: -2147483648 +#843: -2147483648 +#844: -2147483648 +#845: -2147483648 +#846: -2147483648 +#847: -2147483648 +#848: -2147483648 +#849: -2147483648 +#850: -2147483648 +#851: -2147483648 +#852: -2147483648 +#853: -2147483648 +#854: -2147483648 +#855: -2147483648 +#856: -2147483648 +#857: -2147483648 +#858: -2147483648 +#859: -2147483648 +#860: -2147483648 +#861: -2147483648 +#862: -2147483648 +#863: -2147483648 +#864: -2147483648 +#865: -2147483648 +#866: -2147483648 +#867: -2147483648 +#868: -2147483648 +#869: -2147483648 +#870: -2147483648 +#871: -2147483648 +#872: -2147483648 +#873: -2147483648 +#874: -2147483648 +#875: -2147483648 +#876: -2147483648 +#877: -2147483648 +#878: -2147483648 +#879: -2147483648 +#880: -2147483648 +#881: -2147483648 +#882: -2147483648 +#883: -2147483648 +#884: -2147483648 +#885: -2147483648 +#886: -2147483648 +#887: -2147483648 +#888: -2147483648 +#889: -2147483648 +#890: -2147483648 +#891: -2147483648 +#892: -2147483648 +#893: -2147483648 +#894: -2147483648 +#895: -2147483648 +#896: -2147483648 +#897: -2147483648 +#898: -2147483648 +#899: -2147483648 +#900: -2147483648 +#901: -2147483648 +#902: -2147483648 +#903: -2147483648 +#904: -2147483648 +#905: -2147483648 +#906: -2147483648 +#907: -2147483648 +#908: -2147483648 +#909: -2147483648 +#910: -2147483648 +#911: -2147483648 +#912: -2147483648 +#913: -2147483648 +#914: -2147483648 +#915: -2147483648 +#916: -2147483648 +#917: -2147483648 +#918: -2147483648 +#919: -2147483648 +#920: -2147483648 +#921: -2147483648 +#922: -2147483648 +#923: -2147483648 +#924: -2147483648 +#925: -2147483648 +#926: -2147483648 +#927: -2147483648 +#928: -2147483648 +#929: -2147483648 +#930: -2147483648 +#931: -2147483648 +#932: -2147483648 +#933: -2147483648 +#934: -2147483648 +#935: -2147483648 +#936: -2147483648 +#937: -2147483648 +#938: -2147483648 +#939: -2147483648 +#940: -2147483648 +#941: -2147483648 +#942: -2147483648 +#943: -2147483648 +#944: -2147483648 +#945: -2147483648 +#946: -2147483648 +#947: -2147483648 +#948: -2147483648 +#949: -2147483648 +#950: -2147483648 +#951: -2147483648 +#952: -2147483648 +#953: -2147483648 +#954: -2147483648 +#955: -2147483648 +#956: -2147483648 +#957: -2147483648 +#958: -2147483648 +#959: -2147483648 +#960: -2147483648 +#961: -2147483648 +#962: -2147483648 +#963: -2147483648 +#964: -2147483648 +#965: -2147483648 +#966: -2147483648 +#967: -2147483648 +#968: -2147483648 +#969: -2147483648 +#970: -2147483648 +#971: -2147483648 +#972: -2147483648 +#973: -2147483648 +#974: -2147483648 +#975: -2147483648 +#976: -2147483648 +#977: -2147483648 +#978: -2147483648 +#979: -2147483648 +#980: -2147483648 +#981: -2147483648 +#982: -2147483648 +#983: -2147483648 +#984: -2147483648 +#985: -2147483648 +#986: -2147483648 +#987: -2147483648 +#988: -2147483648 +#989: -2147483648 +#990: -2147483648 +#991: -2147483648 +#992: -2147483648 +#993: -1.79769e+308 +#994: -1.79769e+308 +#995: -1.79769e+308 +#996: -1.79769e+308 +#997: -1.79769e+308 +#998: -1.79769e+308 +#999: -1.79769e+308 +#1000: -1.79769e+308 +#1001: -1.79769e+308 +#1002: -1.79769e+308 +#1003: -1.79769e+308 +#1004: -1.79769e+308 +#1005: -1.79769e+308 +#1006: -1.79769e+308 +#1007: -1.79769e+308 +#1008: -1.79769e+308 +#1009: -1.79769e+308 +#1010: -1.79769e+308 +#1011: -1.79769e+308 +#1012: -1.79769e+308 +#1013: -1.79769e+308 +#1014: -1.79769e+308 +#1015: -1.79769e+308 +#1016: -1.79769e+308 +#1017: -1.79769e+308 +#1018: -1.79769e+308 +#1019: -1.79769e+308 +#1020: -1.79769e+308 +#1021: -1.79769e+308 +#1022: -1.79769e+308 +#1023: -1.79769e+308 +#1024: -1.79769e+308 +#1025: -1.79769e+308 +#1026: -1.79769e+308 +#1027: -1.79769e+308 +#1028: -1.79769e+308 +#1029: -1.79769e+308 +#1030: -1.79769e+308 +#1031: -1.79769e+308 +#1032: -1.79769e+308 +#1033: -1.79769e+308 +#1034: -1.79769e+308 +#1035: -1.79769e+308 +#1036: -1.79769e+308 +#1037: -1.79769e+308 +#1038: -1.79769e+308 +#1039: -1.79769e+308 +#1040: -1.79769e+308 +#1041: -1.79769e+308 +#1042: -1.79769e+308 +#1043: -1.79769e+308 +#1044: -1.79769e+308 +#1045: -1.79769e+308 +#1046: -1.79769e+308 +#1047: -1.79769e+308 +#1048: -1.79769e+308 +#1049: -1.79769e+308 +#1050: -1.79769e+308 +#1051: -1.79769e+308 +#1052: -1.79769e+308 +#1053: -1.79769e+308 +#1054: -1.79769e+308 +#1055: -1.79769e+308 +#1056: -1.79769e+308 +#1057: -1.79769e+308 +#1058: -1.79769e+308 +#1059: -1.79769e+308 +#1060: -1.79769e+308 +#1061: -1.79769e+308 +#1062: -1.79769e+308 +#1063: -1.79769e+308 +#1064: -1.79769e+308 +#1065: -1.79769e+308 +#1066: -1.79769e+308 +#1067: -1.79769e+308 +#1068: -1.79769e+308 +#1069: -1.79769e+308 +#1070: -1.79769e+308 +#1071: -1.79769e+308 +#1072: -1.79769e+308 +#1073: -1.79769e+308 +#1074: -1.79769e+308 +#1075: -1.79769e+308 +#1076: -1.79769e+308 +#1077: -1.79769e+308 +#1078: -1.79769e+308 +#1079: -1.79769e+308 +#1080: -1.79769e+308 +#1081: -1.79769e+308 +#1082: -1.79769e+308 +#1083: -1.79769e+308 +#1084: -1.79769e+308 +#1085: -1.79769e+308 +#1086: -1.79769e+308 +#1087: -1.79769e+308 +#1088: -1.79769e+308 +#1089: -1.79769e+308 +#1090: -1.79769e+308 +#1091: -1.79769e+308 +#1092: -1.79769e+308 +#1093: -1.79769e+308 +#1094: -1.79769e+308 +#1095: -1.79769e+308 +#1096: -1.79769e+308 +#1097: -1.79769e+308 +#1098: -1.79769e+308 +#1099: -1.79769e+308 +#1100: -1.79769e+308 +#1101: -1.79769e+308 +#1102: -1.79769e+308 +#1103: -1.79769e+308 +#1104: -1.79769e+308 +#1105: -1.79769e+308 +#1106: -1.79769e+308 +#1107: -1.79769e+308 +#1108: -1.79769e+308 +#1109: -1.79769e+308 +#1110: -1.79769e+308 +#1111: -1.79769e+308 +#1112: -1.79769e+308 +#1113: -1.79769e+308 +#1114: -1.79769e+308 +#1115: -1.79769e+308 +#1116: -1.79769e+308 +#1117: -1.79769e+308 +#1118: -1.79769e+308 +#1119: -1.79769e+308 +#1120: -1.79769e+308 +#1121: -1.79769e+308 +#1122: -1.79769e+308 +#1123: -1.79769e+308 +#1124: -1.79769e+308 +#1125: -1.79769e+308 +#1126: -1.79769e+308 +#1127: -1.79769e+308 +#1128: -1.79769e+308 +#1129: -1.79769e+308 +#1130: -1.79769e+308 +#1131: -1.79769e+308 +#1132: -1.79769e+308 +#1133: -1.79769e+308 +#1134: -1.79769e+308 +#1135: -1.79769e+308 +#1136: -1.79769e+308 +#1137: -1.79769e+308 +#1138: -1.79769e+308 +#1139: -1.79769e+308 +#1140: -1.79769e+308 +#1141: -1.79769e+308 +#1142: -1.79769e+308 +#1143: -1.79769e+308 +#1144: -1.79769e+308 +#1145: -1.79769e+308 +#1146: -1.79769e+308 +#1147: -1.79769e+308 +#1148: -1.79769e+308 +#1149: -1.79769e+308 +#1150: -1.79769e+308 +#1151: -1.79769e+308 +#1152: -1.79769e+308 +#1153: -1.79769e+308 +#1154: -1.79769e+308 +#1155: -1.79769e+308 +#1156: -1.79769e+308 +#1157: -1.79769e+308 +#1158: -1.79769e+308 +#1159: -1.79769e+308 +#1160: -1.79769e+308 +#1161: -1.79769e+308 +#1162: -1.79769e+308 +#1163: -1.79769e+308 +#1164: -1.79769e+308 +#1165: -1.79769e+308 +#1166: -1.79769e+308 +#1167: -1.79769e+308 +#1168: -1.79769e+308 +#1169: -1.79769e+308 +#1170: -1.79769e+308 +#1171: -1.79769e+308 +#1172: -1.79769e+308 +#1173: -1.79769e+308 +#1174: -1.79769e+308 +#1175: -1.79769e+308 +#1176: -1.79769e+308 +#1177: -1.79769e+308 +#1178: -1.79769e+308 +#1179: -1.79769e+308 +#1180: -1.79769e+308 +#1181: -1.79769e+308 +#1182: -1.79769e+308 +#1183: -1.79769e+308 +#1184: -1.79769e+308 +#1185: -1.79769e+308 +#1186: -1.79769e+308 +#1187: -1.79769e+308 +#1188: -1.79769e+308 +#1189: -1.79769e+308 +#1190: -1.79769e+308 +#1191: -1.79769e+308 +#1192: -1.79769e+308 +#1193: -1.79769e+308 +#1194: -1.79769e+308 +#1195: -1.79769e+308 +#1196: -1.79769e+308 +#1197: -1.79769e+308 +#1198: -1.79769e+308 +#1199: -1.79769e+308 +#1200: -1.79769e+308 +#1201: -1.79769e+308 +#1202: -1.79769e+308 +#1203: -1.79769e+308 +#1204: -1.79769e+308 +#1205: -1.79769e+308 +#1206: -1.79769e+308 +#1207: -1.79769e+308 +#1208: -1.79769e+308 +#1209: -1.79769e+308 +#1210: -1.79769e+308 +#1211: -1.79769e+308 +#1212: -1.79769e+308 +#1213: -1.79769e+308 +#1214: -1.79769e+308 +#1215: -1.79769e+308 +#1216: -1.79769e+308 +#1217: -1.79769e+308 +#1218: -1.79769e+308 +#1219: -1.79769e+308 +#1220: -1.79769e+308 +#1221: -1.79769e+308 +#1222: -1.79769e+308 +#1223: -1.79769e+308 +#1224: -1.79769e+308 +#1225: -1.79769e+308 +#1226: -1.79769e+308 +#1227: -1.79769e+308 +#1228: -1.79769e+308 +#1229: -1.79769e+308 +#1230: -1.79769e+308 +#1231: -1.79769e+308 +#1232: -1.79769e+308 +#1233: -1.79769e+308 +#1234: -1.79769e+308 +#1235: -1.79769e+308 +#1236: -1.79769e+308 +#1237: -1.79769e+308 +#1238: -1.79769e+308 +#1239: -1.79769e+308 +#1240: -1.79769e+308 +#1241: -1.79769e+308 +#1242: -1.79769e+308 +#1243: -1.79769e+308 +#1244: -1.79769e+308 +#1245: -1.79769e+308 +#1246: -1.79769e+308 +#1247: -1.79769e+308 +#1248: -1.79769e+308 +#1249: -9223372036854775808 +#1250: -9223372036854775808 +#1251: -9223372036854775808 +#1252: -9223372036854775808 +#1253: -9223372036854775808 +#1254: -9223372036854775808 +#1255: -9223372036854775808 +#1256: -9223372036854775808 +#1257: -9223372036854775808 +#1258: -9223372036854775808 +#1259: -9223372036854775808 +#1260: -9223372036854775808 +#1261: -9223372036854775808 +#1262: -9223372036854775808 +#1263: -9223372036854775808 +#1264: -9223372036854775808 +#1265: -9223372036854775808 +#1266: -9223372036854775808 +#1267: -9223372036854775808 +#1268: -9223372036854775808 +#1269: -9223372036854775808 +#1270: -9223372036854775808 +#1271: -9223372036854775808 +#1272: -9223372036854775808 +#1273: -9223372036854775808 +#1274: -9223372036854775808 +#1275: -9223372036854775808 +#1276: -9223372036854775808 +#1277: -9223372036854775808 +#1278: -9223372036854775808 +#1279: -9223372036854775808 +#1280: -9223372036854775808 +#1281: -9223372036854775808 +#1282: -9223372036854775808 +#1283: -9223372036854775808 +#1284: -9223372036854775808 +#1285: -9223372036854775808 +#1286: -9223372036854775808 +#1287: -9223372036854775808 +#1288: -9223372036854775808 +#1289: -9223372036854775808 +#1290: -9223372036854775808 +#1291: -9223372036854775808 +#1292: -9223372036854775808 +#1293: -9223372036854775808 +#1294: -9223372036854775808 +#1295: -9223372036854775808 +#1296: -9223372036854775808 +#1297: -9223372036854775808 +#1298: -9223372036854775808 +#1299: -9223372036854775808 +#1300: -9223372036854775808 +#1301: -9223372036854775808 +#1302: -9223372036854775808 +#1303: -9223372036854775808 +#1304: -9223372036854775808 +#1305: -9223372036854775808 +#1306: -9223372036854775808 +#1307: -9223372036854775808 +#1308: -9223372036854775808 +#1309: -9223372036854775808 +#1310: -9223372036854775808 +#1311: -9223372036854775808 +#1312: -9223372036854775808 +#1313: -9223372036854775808 +#1314: -9223372036854775808 +#1315: -9223372036854775808 +#1316: -9223372036854775808 +#1317: -9223372036854775808 +#1318: -9223372036854775808 +#1319: -9223372036854775808 +#1320: -9223372036854775808 +#1321: -9223372036854775808 +#1322: -9223372036854775808 +#1323: -9223372036854775808 +#1324: -9223372036854775808 +#1325: -9223372036854775808 +#1326: -9223372036854775808 +#1327: -9223372036854775808 +#1328: -9223372036854775808 +#1329: -9223372036854775808 +#1330: -9223372036854775808 +#1331: -9223372036854775808 +#1332: -9223372036854775808 +#1333: -9223372036854775808 +#1334: -9223372036854775808 +#1335: -9223372036854775808 +#1336: -9223372036854775808 +#1337: -9223372036854775808 +#1338: -9223372036854775808 +#1339: -9223372036854775808 +#1340: -9223372036854775808 +#1341: -9223372036854775808 +#1342: -9223372036854775808 +#1343: -9223372036854775808 +#1344: -9223372036854775808 +#1345: -9223372036854775808 +#1346: -9223372036854775808 +#1347: -9223372036854775808 +#1348: -9223372036854775808 +#1349: -9223372036854775808 +#1350: -9223372036854775808 +#1351: -9223372036854775808 +#1352: -9223372036854775808 +#1353: -9223372036854775808 +#1354: -9223372036854775808 +#1355: -9223372036854775808 +#1356: -9223372036854775808 +#1357: -9223372036854775808 +#1358: -9223372036854775808 +#1359: -9223372036854775808 +#1360: -9223372036854775808 +#1361: -9223372036854775808 +#1362: -9223372036854775808 +#1363: -9223372036854775808 +#1364: -9223372036854775808 +#1365: -9223372036854775808 +#1366: -9223372036854775808 +#1367: -9223372036854775808 +#1368: -9223372036854775808 +#1369: -9223372036854775808 +#1370: -9223372036854775808 +#1371: -9223372036854775808 +#1372: -9223372036854775808 +#1373: -9223372036854775808 +#1374: -9223372036854775808 +#1375: -9223372036854775808 +#1376: -9223372036854775808 +#1377: -9223372036854775808 +#1378: -9223372036854775808 +#1379: -9223372036854775808 +#1380: -9223372036854775808 +#1381: -9223372036854775808 +#1382: -9223372036854775808 +#1383: -9223372036854775808 +#1384: -9223372036854775808 +#1385: -9223372036854775808 +#1386: -9223372036854775808 +#1387: -9223372036854775808 +#1388: -9223372036854775808 +#1389: -9223372036854775808 +#1390: -9223372036854775808 +#1391: -9223372036854775808 +#1392: -9223372036854775808 +#1393: -9223372036854775808 +#1394: -9223372036854775808 +#1395: -9223372036854775808 +#1396: -9223372036854775808 +#1397: -9223372036854775808 +#1398: -9223372036854775808 +#1399: -9223372036854775808 +#1400: -9223372036854775808 +#1401: -9223372036854775808 +#1402: -9223372036854775808 +#1403: -9223372036854775808 +#1404: -9223372036854775808 +#1405: -9223372036854775808 +#1406: -9223372036854775808 +#1407: -9223372036854775808 +#1408: -9223372036854775808 +#1409: -9223372036854775808 +#1410: -9223372036854775808 +#1411: -9223372036854775808 +#1412: -9223372036854775808 +#1413: -9223372036854775808 +#1414: -9223372036854775808 +#1415: -9223372036854775808 +#1416: -9223372036854775808 +#1417: -9223372036854775808 +#1418: -9223372036854775808 +#1419: -9223372036854775808 +#1420: -9223372036854775808 +#1421: -9223372036854775808 +#1422: -9223372036854775808 +#1423: -9223372036854775808 +#1424: -9223372036854775808 +#1425: -9223372036854775808 +#1426: -9223372036854775808 +#1427: -9223372036854775808 +#1428: -9223372036854775808 +#1429: -9223372036854775808 +#1430: -9223372036854775808 +#1431: -9223372036854775808 +#1432: -9223372036854775808 +#1433: -9223372036854775808 +#1434: -9223372036854775808 +#1435: -9223372036854775808 +#1436: -9223372036854775808 +#1437: -9223372036854775808 +#1438: -9223372036854775808 +#1439: -9223372036854775808 +#1440: -9223372036854775808 +#1441: -9223372036854775808 +#1442: -9223372036854775808 +#1443: -9223372036854775808 +#1444: -9223372036854775808 +#1445: -9223372036854775808 +#1446: -9223372036854775808 +#1447: -9223372036854775808 +#1448: -9223372036854775808 +#1449: -9223372036854775808 +#1450: -9223372036854775808 +#1451: -9223372036854775808 +#1452: -9223372036854775808 +#1453: -9223372036854775808 +#1454: -9223372036854775808 +#1455: -9223372036854775808 +#1456: -9223372036854775808 +#1457: -9223372036854775808 +#1458: -9223372036854775808 +#1459: -9223372036854775808 +#1460: -9223372036854775808 +#1461: -9223372036854775808 +#1462: -9223372036854775808 +#1463: -9223372036854775808 +#1464: -9223372036854775808 +#1465: -9223372036854775808 +#1466: -9223372036854775808 +#1467: -9223372036854775808 +#1468: -9223372036854775808 +#1469: -9223372036854775808 +#1470: -9223372036854775808 +#1471: -9223372036854775808 +#1472: -9223372036854775808 +#1473: -9223372036854775808 +#1474: -9223372036854775808 +#1475: -9223372036854775808 +#1476: -9223372036854775808 +#1477: -9223372036854775808 +#1478: -9223372036854775808 +#1479: -9223372036854775808 +#1480: -9223372036854775808 +#1481: -9223372036854775808 +#1482: -9223372036854775808 +#1483: -9223372036854775808 +#1484: -9223372036854775808 +#1485: -9223372036854775808 +#1486: -9223372036854775808 +#1487: -9223372036854775808 +#1488: -9223372036854775808 +#1489: -9223372036854775808 +#1490: -9223372036854775808 +#1491: -9223372036854775808 +#1492: -9223372036854775808 +#1493: -9223372036854775808 +#1494: -9223372036854775808 +#1495: -9223372036854775808 +#1496: -9223372036854775808 +#1497: -9223372036854775808 +#1498: -9223372036854775808 +#1499: -9223372036854775808 +#1500: -9223372036854775808 +#1501: -9223372036854775808 +#1502: -9223372036854775808 +#1503: -9223372036854775808 +#1504: -9223372036854775808 +#1505: 0 +#1506: 0 +#1507: 0 +#1508: 0 +#1509: 0 +#1510: 0 +#1511: 0 +#1512: 0 +#1513: 0 +#1514: 0 +#1515: 0 +#1516: 0 +#1517: 0 +#1518: 0 +#1519: 0 +#1520: 0 +#1521: 0 +#1522: 0 +#1523: 0 +#1524: 0 +#1525: 0 +#1526: 0 +#1527: 0 +#1528: 0 +#1529: 0 +#1530: 0 +#1531: 0 +#1532: 0 +#1533: 0 +#1534: 0 +#1535: 0 +#1536: 0 +#1537: 0 +#1538: 0 +#1539: 0 +#1540: 0 +#1541: 0 +#1542: 0 +#1543: 0 +#1544: 0 +#1545: 0 +#1546: 0 +#1547: 0 +#1548: 0 +#1549: 0 +#1550: 0 +#1551: 0 +#1552: 0 +#1553: 0 +#1554: 0 +#1555: 0 +#1556: 0 +#1557: 0 +#1558: 0 +#1559: 0 +#1560: 0 +#1561: 0 +#1562: 0 +#1563: 0 +#1564: 0 +#1565: 0 +#1566: 0 +#1567: 0 +#1568: 0 +#1569: 0 +#1570: 0 +#1571: 0 +#1572: 0 +#1573: 0 +#1574: 0 +#1575: 0 +#1576: 0 +#1577: 0 +#1578: 0 +#1579: 0 +#1580: 0 +#1581: 0 +#1582: 0 +#1583: 0 +#1584: 0 +#1585: 0 +#1586: 0 +#1587: 0 +#1588: 0 +#1589: 0 +#1590: 0 +#1591: 0 +#1592: 0 +#1593: 0 +#1594: 0 +#1595: 0 +#1596: 0 +#1597: 0 +#1598: 0 +#1599: 0 +#1600: 0 +#1601: 0 +#1602: 0 +#1603: 0 +#1604: 0 +#1605: 0 +#1606: 0 +#1607: 0 +#1608: 0 +#1609: 0 +#1610: 0 +#1611: 0 +#1612: 0 +#1613: 0 +#1614: 0 +#1615: 0 +#1616: 0 +#1617: 0 +#1618: 0 +#1619: 0 +#1620: 0 +#1621: 0 +#1622: 0 +#1623: 0 +#1624: 0 +#1625: 0 +#1626: 0 +#1627: 0 +#1628: 0 +#1629: 0 +#1630: 0 +#1631: 0 +#1632: 0 +#1633: 0 +#1634: 0 +#1635: 0 +#1636: 0 +#1637: 0 +#1638: 0 +#1639: 0 +#1640: 0 +#1641: 0 +#1642: 0 +#1643: 0 +#1644: 0 +#1645: 0 +#1646: 0 +#1647: 0 +#1648: 0 +#1649: 0 +#1650: 0 +#1651: 0 +#1652: 0 +#1653: 0 +#1654: 0 +#1655: 0 +#1656: 0 +#1657: 0 +#1658: 0 +#1659: 0 +#1660: 0 +#1661: 0 +#1662: 0 +#1663: 0 +#1664: 0 +#1665: 0 +#1666: 0 +#1667: 0 +#1668: 0 +#1669: 0 +#1670: 0 +#1671: 0 +#1672: 0 +#1673: 0 +#1674: 0 +#1675: 0 +#1676: 0 +#1677: 0 +#1678: 0 +#1679: 0 +#1680: 0 +#1681: 0 +#1682: 0 +#1683: 0 +#1684: 0 +#1685: 0 +#1686: 0 +#1687: 0 +#1688: 0 +#1689: 0 +#1690: 0 +#1691: 0 +#1692: 0 +#1693: 0 +#1694: 0 +#1695: 0 +#1696: 0 +#1697: 0 +#1698: 0 +#1699: 0 +#1700: 0 +#1701: 0 +#1702: 0 +#1703: 0 +#1704: 0 +#1705: 0 +#1706: 0 +#1707: 0 +#1708: 0 +#1709: 0 +#1710: 0 +#1711: 0 +#1712: 0 +#1713: 0 +#1714: 0 +#1715: 0 +#1716: 0 +#1717: 0 +#1718: 0 +#1719: 0 +#1720: 0 +#1721: 0 +#1722: 0 +#1723: 0 +#1724: 0 +#1725: 0 +#1726: 0 +#1727: 0 +#1728: 0 +#1729: 0 +#1730: 0 +#1731: 0 +#1732: 0 +#1733: 0 +#1734: 0 +#1735: 0 +#1736: 0 +#1737: 0 +#1738: 0 +#1739: 0 +#1740: 0 +#1741: 0 +#1742: 0 +#1743: 0 +#1744: 0 +#1745: 0 +#1746: 0 +#1747: 0 +#1748: 0 +#1749: 0 +#1750: 0 +#1751: 0 +#1752: 0 +#1753: 0 +#1754: 0 +#1755: 0 +#1756: 0 +#1757: 0 +#1758: 0 +#1759: 0 +#1760: 0 +#1761: 1 +#1762: 1 +#1763: 1 +#1764: 1 +#1765: 1 +#1766: 1 +#1767: 1 +#1768: 1 +#1769: 1 +#1770: 1 +#1771: 1 +#1772: 1 +#1773: 1 +#1774: 1 +#1775: 1 +#1776: 1 +#1777: 1 +#1778: 1 +#1779: 1 +#1780: 1 +#1781: 1 +#1782: 1 +#1783: 1 +#1784: 1 +#1785: 1 +#1786: 1 +#1787: 1 +#1788: 1 +#1789: 1 +#1790: 1 +#1791: 1 +#1792: 1 +#1793: 1 +#1794: 1 +#1795: 1 +#1796: 1 +#1797: 1 +#1798: 1 +#1799: 1 +#1800: 1 +#1801: 1 +#1802: 1 +#1803: 1 +#1804: 1 +#1805: 1 +#1806: 1 +#1807: 1 +#1808: 1 +#1809: 1 +#1810: 1 +#1811: 1 +#1812: 1 +#1813: 1 +#1814: 1 +#1815: 1 +#1816: 1 +#1817: 1 +#1818: 1 +#1819: 1 +#1820: 1 +#1821: 1 +#1822: 1 +#1823: 1 +#1824: 1 +#1825: 1 +#1826: 1 +#1827: 1 +#1828: 1 +#1829: 1 +#1830: 1 +#1831: 1 +#1832: 1 +#1833: 1 +#1834: 1 +#1835: 1 +#1836: 1 +#1837: 1 +#1838: 1 +#1839: 1 +#1840: 1 +#1841: 1 +#1842: 1 +#1843: 1 +#1844: 1 +#1845: 1 +#1846: 1 +#1847: 1 +#1848: 1 +#1849: 1 +#1850: 1 +#1851: 1 +#1852: 1 +#1853: 1 +#1854: 1 +#1855: 1 +#1856: 1 +#1857: 1 +#1858: 1 +#1859: 1 +#1860: 1 +#1861: 1 +#1862: 1 +#1863: 1 +#1864: 1 +#1865: 1 +#1866: 1 +#1867: 1 +#1868: 1 +#1869: 1 +#1870: 1 +#1871: 1 +#1872: 1 +#1873: 1 +#1874: 1 +#1875: 1 +#1876: 1 +#1877: 1 +#1878: 1 +#1879: 1 +#1880: 1 +#1881: 1 +#1882: 1 +#1883: 1 +#1884: 1 +#1885: 1 +#1886: 1 +#1887: 1 +#1888: 1 +#1889: 1 +#1890: 1 +#1891: 1 +#1892: 1 +#1893: 1 +#1894: 1 +#1895: 1 +#1896: 1 +#1897: 1 +#1898: 1 +#1899: 1 +#1900: 1 +#1901: 1 +#1902: 1 +#1903: 1 +#1904: 1 +#1905: 1 +#1906: 1 +#1907: 1 +#1908: 1 +#1909: 1 +#1910: 1 +#1911: 1 +#1912: 1 +#1913: 1 +#1914: 1 +#1915: 1 +#1916: 1 +#1917: 1 +#1918: 1 +#1919: 1 +#1920: 1 +#1921: 1 +#1922: 1 +#1923: 1 +#1924: 1 +#1925: 1 +#1926: 1 +#1927: 1 +#1928: 1 +#1929: 1 +#1930: 1 +#1931: 1 +#1932: 1 +#1933: 1 +#1934: 1 +#1935: 1 +#1936: 1 +#1937: 1 +#1938: 1 +#1939: 1 +#1940: 1 +#1941: 1 +#1942: 1 +#1943: 1 +#1944: 1 +#1945: 1 +#1946: 1 +#1947: 1 +#1948: 1 +#1949: 1 +#1950: 1 +#1951: 1 +#1952: 1 +#1953: 1 +#1954: 1 +#1955: 1 +#1956: 1 +#1957: 1 +#1958: 1 +#1959: 1 +#1960: 1 +#1961: 1 +#1962: 1 +#1963: 1 +#1964: 1 +#1965: 1 +#1966: 1 +#1967: 1 +#1968: 1 +#1969: 1 +#1970: 1 +#1971: 1 +#1972: 1 +#1973: 1 +#1974: 1 +#1975: 1 +#1976: 1 +#1977: 1 +#1978: 1 +#1979: 1 +#1980: 1 +#1981: 1 +#1982: 1 +#1983: 1 +#1984: 1 +#1985: 1 +#1986: 1 +#1987: 1 +#1988: 1 +#1989: 1 +#1990: 1 +#1991: 1 +#1992: 1 +#1993: 1 +#1994: 1 +#1995: 1 +#1996: 1 +#1997: 1 +#1998: 1 +#1999: 1 +#2000: 1 +#2001: 1 +#2002: 1 +#2003: 1 +#2004: 1 +#2005: 1 +#2006: 1 +#2007: 1 +#2008: 1 +#2009: 1 +#2010: 1 +#2011: 1 +#2012: 1 +#2013: 1 +#2014: 1 +#2015: 1 +#2016: 1 +#2017: false (0) +#2018: '0' (0) +#2019: nul (0) +#2020: note (0) +#2021: -2147483648 +#2022: -1.79769e+308 +#2023: -9223372036854775808 +#2024: 0 +#2025: 1 +#2026: nul (0) +#2027: nul (0) +#2028: nul (0) +#2029: nul (0) +#2030: nul (0) +#2031: nul (0) +#2032: nul (0) +#2033: '0' (0) +#2034: '0' (0) +#2035: '0' (0) +#2036: '0' (0) +#2037: false (0) +#2038: false (0) +#2039: false (0) +#2040: false (0) +#2041: false (0) +#2042: false (0) +#2043: false (0) +#2044: false (0) +#2045: false (0) +#2046: false (0) +#2047: false (0) +#2048: false (0) +#2049: false (0) +#2050: false (0) +#2051: false (0) +#2052: false (0) +#2053: note (0) +#2054: note (0) +#2055: note (0) +#2056: note (0) +#2057: note (0) +#2058: note (0) +#2059: note (0) +#2060: note (0) +#2061: note (0) +#2062: note (0) +#2063: note (0) +#2064: note (0) +#2065: note (0) +#2066: note (0) +#2067: note (0) +#2068: note (0) +#2069: -2147483648 +#2070: -2147483648 +#2071: -2147483648 +#2072: -2147483648 +#2073: -2147483648 +#2074: -2147483648 +#2075: -2147483648 +#2076: -2147483648 +#2077: -2147483648 +#2078: -2147483648 +#2079: -2147483648 +#2080: -2147483648 +#2081: -2147483648 +#2082: -2147483648 +#2083: -2147483648 +#2084: -2147483648 +#2085: -1.79769e+308 +#2086: -1.79769e+308 +#2087: -1.79769e+308 +#2088: -1.79769e+308 +#2089: -1.79769e+308 +#2090: -1.79769e+308 +#2091: -1.79769e+308 +#2092: -1.79769e+308 +#2093: -1.79769e+308 +#2094: -1.79769e+308 +#2095: -1.79769e+308 +#2096: -1.79769e+308 +#2097: -1.79769e+308 +#2098: -1.79769e+308 +#2099: -1.79769e+308 +#2100: -1.79769e+308 +#2101: -9223372036854775808 +#2102: -9223372036854775808 +#2103: -9223372036854775808 +#2104: -9223372036854775808 +#2105: -9223372036854775808 +#2106: -9223372036854775808 +#2107: -9223372036854775808 +#2108: -9223372036854775808 +#2109: -9223372036854775808 +#2110: -9223372036854775808 +#2111: -9223372036854775808 +#2112: -9223372036854775808 +#2113: -9223372036854775808 +#2114: -9223372036854775808 +#2115: -9223372036854775808 +#2116: -9223372036854775808 +#2117: 0 +#2118: 0 +#2119: 0 +#2120: 0 +#2121: 0 +#2122: 0 +#2123: 0 +#2124: 0 +#2125: 0 +#2126: 0 +#2127: 0 +#2128: 0 +#2129: 0 +#2130: 0 +#2131: 0 +#2132: 0 +#2133: 1 +#2134: 1 +#2135: 1 +#2136: 1 +#2137: 1 +#2138: 1 +#2139: 1 +#2140: 1 +#2141: 1 +#2142: 1 +#2143: 1 +#2144: 1 +#2145: 1 +#2146: 1 +#2147: 1 +#2148: 1 +#2149: false (0) +#2150: false (0) +#2151: false (0) +#2152: false (0) +#2153: false (0) +#2154: false (0) +#2155: false (0) +#2156: false (0) +#2157: false (0) +#2158: false (0) +#2159: false (0) +#2160: false (0) +#2161: false (0) +#2162: false (0) +#2163: false (0) +#2164: false (0) +#2165: false (0) +#2166: false (0) +#2167: false (0) +#2168: false (0) +#2169: false (0) +#2170: false (0) +#2171: false (0) +#2172: false (0) +#2173: false (0) +#2174: false (0) +#2175: false (0) +#2176: false (0) +#2177: false (0) +#2178: false (0) +#2179: false (0) +#2180: false (0) +#2181: false (0) +#2182: false (0) +#2183: false (0) +#2184: false (0) +#2185: false (0) +#2186: false (0) +#2187: false (0) +#2188: false (0) +#2189: false (0) +#2190: false (0) +#2191: false (0) +#2192: false (0) +#2193: false (0) +#2194: false (0) +#2195: false (0) +#2196: false (0) +#2197: false (0) +#2198: false (0) +#2199: false (0) +#2200: false (0) +#2201: false (0) +#2202: false (0) +#2203: false (0) +#2204: false (0) +#2205: false (0) +#2206: false (0) +#2207: false (0) +#2208: false (0) +#2209: false (0) +#2210: false (0) +#2211: false (0) +#2212: false (0) +#2213: false (0) +#2214: false (0) +#2215: false (0) +#2216: false (0) +#2217: false (0) +#2218: false (0) +#2219: false (0) +#2220: false (0) +#2221: false (0) +#2222: false (0) +#2223: false (0) +#2224: false (0) +#2225: false (0) +#2226: false (0) +#2227: false (0) +#2228: false (0) +#2229: false (0) +#2230: false (0) +#2231: false (0) +#2232: false (0) +#2233: false (0) +#2234: false (0) +#2235: false (0) +#2236: false (0) +#2237: false (0) +#2238: false (0) +#2239: false (0) +#2240: false (0) +#2241: false (0) +#2242: false (0) +#2243: false (0) +#2244: false (0) +#2245: false (0) +#2246: false (0) +#2247: false (0) +#2248: false (0) +#2249: false (0) +#2250: false (0) +#2251: false (0) +#2252: false (0) +#2253: false (0) +#2254: false (0) +#2255: false (0) +#2256: false (0) +#2257: false (0) +#2258: false (0) +#2259: false (0) +#2260: false (0) +#2261: false (0) +#2262: false (0) +#2263: false (0) +#2264: false (0) +#2265: false (0) +#2266: false (0) +#2267: false (0) +#2268: false (0) +#2269: false (0) +#2270: false (0) +#2271: false (0) +#2272: false (0) +#2273: false (0) +#2274: false (0) +#2275: false (0) +#2276: false (0) +#2277: false (0) +#2278: false (0) +#2279: false (0) +#2280: false (0) +#2281: false (0) +#2282: false (0) +#2283: false (0) +#2284: false (0) +#2285: false (0) +#2286: false (0) +#2287: false (0) +#2288: false (0) +#2289: false (0) +#2290: false (0) +#2291: false (0) +#2292: false (0) +#2293: false (0) +#2294: false (0) +#2295: false (0) +#2296: false (0) +#2297: false (0) +#2298: false (0) +#2299: false (0) +#2300: false (0) +#2301: false (0) +#2302: false (0) +#2303: false (0) +#2304: false (0) +#2305: false (0) +#2306: false (0) +#2307: false (0) +#2308: false (0) +#2309: false (0) +#2310: false (0) +#2311: false (0) +#2312: false (0) +#2313: false (0) +#2314: false (0) +#2315: false (0) +#2316: false (0) +#2317: false (0) +#2318: false (0) +#2319: false (0) +#2320: false (0) +#2321: false (0) +#2322: false (0) +#2323: false (0) +#2324: false (0) +#2325: false (0) +#2326: false (0) +#2327: false (0) +#2328: false (0) +#2329: false (0) +#2330: false (0) +#2331: false (0) +#2332: false (0) +#2333: false (0) +#2334: false (0) +#2335: false (0) +#2336: false (0) +#2337: false (0) +#2338: false (0) +#2339: false (0) +#2340: false (0) +#2341: false (0) +#2342: false (0) +#2343: false (0) +#2344: false (0) +#2345: false (0) +#2346: false (0) +#2347: false (0) +#2348: false (0) +#2349: false (0) +#2350: false (0) +#2351: false (0) +#2352: false (0) +#2353: false (0) +#2354: false (0) +#2355: false (0) +#2356: false (0) +#2357: false (0) +#2358: false (0) +#2359: false (0) +#2360: false (0) +#2361: false (0) +#2362: false (0) +#2363: false (0) +#2364: false (0) +#2365: false (0) +#2366: false (0) +#2367: false (0) +#2368: false (0) +#2369: false (0) +#2370: false (0) +#2371: false (0) +#2372: false (0) +#2373: false (0) +#2374: false (0) +#2375: false (0) +#2376: false (0) +#2377: false (0) +#2378: false (0) +#2379: false (0) +#2380: false (0) +#2381: false (0) +#2382: false (0) +#2383: false (0) +#2384: false (0) +#2385: false (0) +#2386: false (0) +#2387: false (0) +#2388: false (0) +#2389: false (0) +#2390: false (0) +#2391: false (0) +#2392: false (0) +#2393: false (0) +#2394: false (0) +#2395: false (0) +#2396: false (0) +#2397: false (0) +#2398: false (0) +#2399: false (0) +#2400: false (0) +#2401: false (0) +#2402: false (0) +#2403: false (0) +#2404: false (0) +#2405: note (0) +#2406: note (0) +#2407: note (0) +#2408: note (0) +#2409: note (0) +#2410: note (0) +#2411: note (0) +#2412: note (0) +#2413: note (0) +#2414: note (0) +#2415: note (0) +#2416: note (0) +#2417: note (0) +#2418: note (0) +#2419: note (0) +#2420: note (0) +#2421: note (0) +#2422: note (0) +#2423: note (0) +#2424: note (0) +#2425: note (0) +#2426: note (0) +#2427: note (0) +#2428: note (0) +#2429: note (0) +#2430: note (0) +#2431: note (0) +#2432: note (0) +#2433: note (0) +#2434: note (0) +#2435: note (0) +#2436: note (0) +#2437: note (0) +#2438: note (0) +#2439: note (0) +#2440: note (0) +#2441: note (0) +#2442: note (0) +#2443: note (0) +#2444: note (0) +#2445: note (0) +#2446: note (0) +#2447: note (0) +#2448: note (0) +#2449: note (0) +#2450: note (0) +#2451: note (0) +#2452: note (0) +#2453: note (0) +#2454: note (0) +#2455: note (0) +#2456: note (0) +#2457: note (0) +#2458: note (0) +#2459: note (0) +#2460: note (0) +#2461: note (0) +#2462: note (0) +#2463: note (0) +#2464: note (0) +#2465: note (0) +#2466: note (0) +#2467: note (0) +#2468: note (0) +#2469: note (0) +#2470: note (0) +#2471: note (0) +#2472: note (0) +#2473: note (0) +#2474: note (0) +#2475: note (0) +#2476: note (0) +#2477: note (0) +#2478: note (0) +#2479: note (0) +#2480: note (0) +#2481: note (0) +#2482: note (0) +#2483: note (0) +#2484: note (0) +#2485: note (0) +#2486: note (0) +#2487: note (0) +#2488: note (0) +#2489: note (0) +#2490: note (0) +#2491: note (0) +#2492: note (0) +#2493: note (0) +#2494: note (0) +#2495: note (0) +#2496: note (0) +#2497: note (0) +#2498: note (0) +#2499: note (0) +#2500: note (0) +#2501: note (0) +#2502: note (0) +#2503: note (0) +#2504: note (0) +#2505: note (0) +#2506: note (0) +#2507: note (0) +#2508: note (0) +#2509: note (0) +#2510: note (0) +#2511: note (0) +#2512: note (0) +#2513: note (0) +#2514: note (0) +#2515: note (0) +#2516: note (0) +#2517: note (0) +#2518: note (0) +#2519: note (0) +#2520: note (0) +#2521: note (0) +#2522: note (0) +#2523: note (0) +#2524: note (0) +#2525: note (0) +#2526: note (0) +#2527: note (0) +#2528: note (0) +#2529: note (0) +#2530: note (0) +#2531: note (0) +#2532: note (0) +#2533: note (0) +#2534: note (0) +#2535: note (0) +#2536: note (0) +#2537: note (0) +#2538: note (0) +#2539: note (0) +#2540: note (0) +#2541: note (0) +#2542: note (0) +#2543: note (0) +#2544: note (0) +#2545: note (0) +#2546: note (0) +#2547: note (0) +#2548: note (0) +#2549: note (0) +#2550: note (0) +#2551: note (0) +#2552: note (0) +#2553: note (0) +#2554: note (0) +#2555: note (0) +#2556: note (0) +#2557: note (0) +#2558: note (0) +#2559: note (0) +#2560: note (0) +#2561: note (0) +#2562: note (0) +#2563: note (0) +#2564: note (0) +#2565: note (0) +#2566: note (0) +#2567: note (0) +#2568: note (0) +#2569: note (0) +#2570: note (0) +#2571: note (0) +#2572: note (0) +#2573: note (0) +#2574: note (0) +#2575: note (0) +#2576: note (0) +#2577: note (0) +#2578: note (0) +#2579: note (0) +#2580: note (0) +#2581: note (0) +#2582: note (0) +#2583: note (0) +#2584: note (0) +#2585: note (0) +#2586: note (0) +#2587: note (0) +#2588: note (0) +#2589: note (0) +#2590: note (0) +#2591: note (0) +#2592: note (0) +#2593: note (0) +#2594: note (0) +#2595: note (0) +#2596: note (0) +#2597: note (0) +#2598: note (0) +#2599: note (0) +#2600: note (0) +#2601: note (0) +#2602: note (0) +#2603: note (0) +#2604: note (0) +#2605: note (0) +#2606: note (0) +#2607: note (0) +#2608: note (0) +#2609: note (0) +#2610: note (0) +#2611: note (0) +#2612: note (0) +#2613: note (0) +#2614: note (0) +#2615: note (0) +#2616: note (0) +#2617: note (0) +#2618: note (0) +#2619: note (0) +#2620: note (0) +#2621: note (0) +#2622: note (0) +#2623: note (0) +#2624: note (0) +#2625: note (0) +#2626: note (0) +#2627: note (0) +#2628: note (0) +#2629: note (0) +#2630: note (0) +#2631: note (0) +#2632: note (0) +#2633: note (0) +#2634: note (0) +#2635: note (0) +#2636: note (0) +#2637: note (0) +#2638: note (0) +#2639: note (0) +#2640: note (0) +#2641: note (0) +#2642: note (0) +#2643: note (0) +#2644: note (0) +#2645: note (0) +#2646: note (0) +#2647: note (0) +#2648: note (0) +#2649: note (0) +#2650: note (0) +#2651: note (0) +#2652: note (0) +#2653: note (0) +#2654: note (0) +#2655: note (0) +#2656: note (0) +#2657: note (0) +#2658: note (0) +#2659: note (0) +#2660: note (0) +#2661: -2147483648 +#2662: -2147483648 +#2663: -2147483648 +#2664: -2147483648 +#2665: -2147483648 +#2666: -2147483648 +#2667: -2147483648 +#2668: -2147483648 +#2669: -2147483648 +#2670: -2147483648 +#2671: -2147483648 +#2672: -2147483648 +#2673: -2147483648 +#2674: -2147483648 +#2675: -2147483648 +#2676: -2147483648 +#2677: -2147483648 +#2678: -2147483648 +#2679: -2147483648 +#2680: -2147483648 +#2681: -2147483648 +#2682: -2147483648 +#2683: -2147483648 +#2684: -2147483648 +#2685: -2147483648 +#2686: -2147483648 +#2687: -2147483648 +#2688: -2147483648 +#2689: -2147483648 +#2690: -2147483648 +#2691: -2147483648 +#2692: -2147483648 +#2693: -2147483648 +#2694: -2147483648 +#2695: -2147483648 +#2696: -2147483648 +#2697: -2147483648 +#2698: -2147483648 +#2699: -2147483648 +#2700: -2147483648 +#2701: -2147483648 +#2702: -2147483648 +#2703: -2147483648 +#2704: -2147483648 +#2705: -2147483648 +#2706: -2147483648 +#2707: -2147483648 +#2708: -2147483648 +#2709: -2147483648 +#2710: -2147483648 +#2711: -2147483648 +#2712: -2147483648 +#2713: -2147483648 +#2714: -2147483648 +#2715: -2147483648 +#2716: -2147483648 +#2717: -2147483648 +#2718: -2147483648 +#2719: -2147483648 +#2720: -2147483648 +#2721: -2147483648 +#2722: -2147483648 +#2723: -2147483648 +#2724: -2147483648 +#2725: -2147483648 +#2726: -2147483648 +#2727: -2147483648 +#2728: -2147483648 +#2729: -2147483648 +#2730: -2147483648 +#2731: -2147483648 +#2732: -2147483648 +#2733: -2147483648 +#2734: -2147483648 +#2735: -2147483648 +#2736: -2147483648 +#2737: -2147483648 +#2738: -2147483648 +#2739: -2147483648 +#2740: -2147483648 +#2741: -2147483648 +#2742: -2147483648 +#2743: -2147483648 +#2744: -2147483648 +#2745: -2147483648 +#2746: -2147483648 +#2747: -2147483648 +#2748: -2147483648 +#2749: -2147483648 +#2750: -2147483648 +#2751: -2147483648 +#2752: -2147483648 +#2753: -2147483648 +#2754: -2147483648 +#2755: -2147483648 +#2756: -2147483648 +#2757: -2147483648 +#2758: -2147483648 +#2759: -2147483648 +#2760: -2147483648 +#2761: -2147483648 +#2762: -2147483648 +#2763: -2147483648 +#2764: -2147483648 +#2765: -2147483648 +#2766: -2147483648 +#2767: -2147483648 +#2768: -2147483648 +#2769: -2147483648 +#2770: -2147483648 +#2771: -2147483648 +#2772: -2147483648 +#2773: -2147483648 +#2774: -2147483648 +#2775: -2147483648 +#2776: -2147483648 +#2777: -2147483648 +#2778: -2147483648 +#2779: -2147483648 +#2780: -2147483648 +#2781: -2147483648 +#2782: -2147483648 +#2783: -2147483648 +#2784: -2147483648 +#2785: -2147483648 +#2786: -2147483648 +#2787: -2147483648 +#2788: -2147483648 +#2789: -2147483648 +#2790: -2147483648 +#2791: -2147483648 +#2792: -2147483648 +#2793: -2147483648 +#2794: -2147483648 +#2795: -2147483648 +#2796: -2147483648 +#2797: -2147483648 +#2798: -2147483648 +#2799: -2147483648 +#2800: -2147483648 +#2801: -2147483648 +#2802: -2147483648 +#2803: -2147483648 +#2804: -2147483648 +#2805: -2147483648 +#2806: -2147483648 +#2807: -2147483648 +#2808: -2147483648 +#2809: -2147483648 +#2810: -2147483648 +#2811: -2147483648 +#2812: -2147483648 +#2813: -2147483648 +#2814: -2147483648 +#2815: -2147483648 +#2816: -2147483648 +#2817: -2147483648 +#2818: -2147483648 +#2819: -2147483648 +#2820: -2147483648 +#2821: -2147483648 +#2822: -2147483648 +#2823: -2147483648 +#2824: -2147483648 +#2825: -2147483648 +#2826: -2147483648 +#2827: -2147483648 +#2828: -2147483648 +#2829: -2147483648 +#2830: -2147483648 +#2831: -2147483648 +#2832: -2147483648 +#2833: -2147483648 +#2834: -2147483648 +#2835: -2147483648 +#2836: -2147483648 +#2837: -2147483648 +#2838: -2147483648 +#2839: -2147483648 +#2840: -2147483648 +#2841: -2147483648 +#2842: -2147483648 +#2843: -2147483648 +#2844: -2147483648 +#2845: -2147483648 +#2846: -2147483648 +#2847: -2147483648 +#2848: -2147483648 +#2849: -2147483648 +#2850: -2147483648 +#2851: -2147483648 +#2852: -2147483648 +#2853: -2147483648 +#2854: -2147483648 +#2855: -2147483648 +#2856: -2147483648 +#2857: -2147483648 +#2858: -2147483648 +#2859: -2147483648 +#2860: -2147483648 +#2861: -2147483648 +#2862: -2147483648 +#2863: -2147483648 +#2864: -2147483648 +#2865: -2147483648 +#2866: -2147483648 +#2867: -2147483648 +#2868: -2147483648 +#2869: -2147483648 +#2870: -2147483648 +#2871: -2147483648 +#2872: -2147483648 +#2873: -2147483648 +#2874: -2147483648 +#2875: -2147483648 +#2876: -2147483648 +#2877: -2147483648 +#2878: -2147483648 +#2879: -2147483648 +#2880: -2147483648 +#2881: -2147483648 +#2882: -2147483648 +#2883: -2147483648 +#2884: -2147483648 +#2885: -2147483648 +#2886: -2147483648 +#2887: -2147483648 +#2888: -2147483648 +#2889: -2147483648 +#2890: -2147483648 +#2891: -2147483648 +#2892: -2147483648 +#2893: -2147483648 +#2894: -2147483648 +#2895: -2147483648 +#2896: -2147483648 +#2897: -2147483648 +#2898: -2147483648 +#2899: -2147483648 +#2900: -2147483648 +#2901: -2147483648 +#2902: -2147483648 +#2903: -2147483648 +#2904: -2147483648 +#2905: -2147483648 +#2906: -2147483648 +#2907: -2147483648 +#2908: -2147483648 +#2909: -2147483648 +#2910: -2147483648 +#2911: -2147483648 +#2912: -2147483648 +#2913: -2147483648 +#2914: -2147483648 +#2915: -2147483648 +#2916: -2147483648 +#2917: -1.79769e+308 +#2918: -1.79769e+308 +#2919: -1.79769e+308 +#2920: -1.79769e+308 +#2921: -1.79769e+308 +#2922: -1.79769e+308 +#2923: -1.79769e+308 +#2924: -1.79769e+308 +#2925: -1.79769e+308 +#2926: -1.79769e+308 +#2927: -1.79769e+308 +#2928: -1.79769e+308 +#2929: -1.79769e+308 +#2930: -1.79769e+308 +#2931: -1.79769e+308 +#2932: -1.79769e+308 +#2933: -1.79769e+308 +#2934: -1.79769e+308 +#2935: -1.79769e+308 +#2936: -1.79769e+308 +#2937: -1.79769e+308 +#2938: -1.79769e+308 +#2939: -1.79769e+308 +#2940: -1.79769e+308 +#2941: -1.79769e+308 +#2942: -1.79769e+308 +#2943: -1.79769e+308 +#2944: -1.79769e+308 +#2945: -1.79769e+308 +#2946: -1.79769e+308 +#2947: -1.79769e+308 +#2948: -1.79769e+308 +#2949: -1.79769e+308 +#2950: -1.79769e+308 +#2951: -1.79769e+308 +#2952: -1.79769e+308 +#2953: -1.79769e+308 +#2954: -1.79769e+308 +#2955: -1.79769e+308 +#2956: -1.79769e+308 +#2957: -1.79769e+308 +#2958: -1.79769e+308 +#2959: -1.79769e+308 +#2960: -1.79769e+308 +#2961: -1.79769e+308 +#2962: -1.79769e+308 +#2963: -1.79769e+308 +#2964: -1.79769e+308 +#2965: -1.79769e+308 +#2966: -1.79769e+308 +#2967: -1.79769e+308 +#2968: -1.79769e+308 +#2969: -1.79769e+308 +#2970: -1.79769e+308 +#2971: -1.79769e+308 +#2972: -1.79769e+308 +#2973: -1.79769e+308 +#2974: -1.79769e+308 +#2975: -1.79769e+308 +#2976: -1.79769e+308 +#2977: -1.79769e+308 +#2978: -1.79769e+308 +#2979: -1.79769e+308 +#2980: -1.79769e+308 +#2981: -1.79769e+308 +#2982: -1.79769e+308 +#2983: -1.79769e+308 +#2984: -1.79769e+308 +#2985: -1.79769e+308 +#2986: -1.79769e+308 +#2987: -1.79769e+308 +#2988: -1.79769e+308 +#2989: -1.79769e+308 +#2990: -1.79769e+308 +#2991: -1.79769e+308 +#2992: -1.79769e+308 +#2993: -1.79769e+308 +#2994: -1.79769e+308 +#2995: -1.79769e+308 +#2996: -1.79769e+308 +#2997: -1.79769e+308 +#2998: -1.79769e+308 +#2999: -1.79769e+308 +#3000: -1.79769e+308 +#3001: -1.79769e+308 +#3002: -1.79769e+308 +#3003: -1.79769e+308 +#3004: -1.79769e+308 +#3005: -1.79769e+308 +#3006: -1.79769e+308 +#3007: -1.79769e+308 +#3008: -1.79769e+308 +#3009: -1.79769e+308 +#3010: -1.79769e+308 +#3011: -1.79769e+308 +#3012: -1.79769e+308 +#3013: -1.79769e+308 +#3014: -1.79769e+308 +#3015: -1.79769e+308 +#3016: -1.79769e+308 +#3017: -1.79769e+308 +#3018: -1.79769e+308 +#3019: -1.79769e+308 +#3020: -1.79769e+308 +#3021: -1.79769e+308 +#3022: -1.79769e+308 +#3023: -1.79769e+308 +#3024: -1.79769e+308 +#3025: -1.79769e+308 +#3026: -1.79769e+308 +#3027: -1.79769e+308 +#3028: -1.79769e+308 +#3029: -1.79769e+308 +#3030: -1.79769e+308 +#3031: -1.79769e+308 +#3032: -1.79769e+308 +#3033: -1.79769e+308 +#3034: -1.79769e+308 +#3035: -1.79769e+308 +#3036: -1.79769e+308 +#3037: -1.79769e+308 +#3038: -1.79769e+308 +#3039: -1.79769e+308 +#3040: -1.79769e+308 +#3041: -1.79769e+308 +#3042: -1.79769e+308 +#3043: -1.79769e+308 +#3044: -1.79769e+308 +#3045: -1.79769e+308 +#3046: -1.79769e+308 +#3047: -1.79769e+308 +#3048: -1.79769e+308 +#3049: -1.79769e+308 +#3050: -1.79769e+308 +#3051: -1.79769e+308 +#3052: -1.79769e+308 +#3053: -1.79769e+308 +#3054: -1.79769e+308 +#3055: -1.79769e+308 +#3056: -1.79769e+308 +#3057: -1.79769e+308 +#3058: -1.79769e+308 +#3059: -1.79769e+308 +#3060: -1.79769e+308 +#3061: -1.79769e+308 +#3062: -1.79769e+308 +#3063: -1.79769e+308 +#3064: -1.79769e+308 +#3065: -1.79769e+308 +#3066: -1.79769e+308 +#3067: -1.79769e+308 +#3068: -1.79769e+308 +#3069: -1.79769e+308 +#3070: -1.79769e+308 +#3071: -1.79769e+308 +#3072: -1.79769e+308 +#3073: -1.79769e+308 +#3074: -1.79769e+308 +#3075: -1.79769e+308 +#3076: -1.79769e+308 +#3077: -1.79769e+308 +#3078: -1.79769e+308 +#3079: -1.79769e+308 +#3080: -1.79769e+308 +#3081: -1.79769e+308 +#3082: -1.79769e+308 +#3083: -1.79769e+308 +#3084: -1.79769e+308 +#3085: -1.79769e+308 +#3086: -1.79769e+308 +#3087: -1.79769e+308 +#3088: -1.79769e+308 +#3089: -1.79769e+308 +#3090: -1.79769e+308 +#3091: -1.79769e+308 +#3092: -1.79769e+308 +#3093: -1.79769e+308 +#3094: -1.79769e+308 +#3095: -1.79769e+308 +#3096: -1.79769e+308 +#3097: -1.79769e+308 +#3098: -1.79769e+308 +#3099: -1.79769e+308 +#3100: -1.79769e+308 +#3101: -1.79769e+308 +#3102: -1.79769e+308 +#3103: -1.79769e+308 +#3104: -1.79769e+308 +#3105: -1.79769e+308 +#3106: -1.79769e+308 +#3107: -1.79769e+308 +#3108: -1.79769e+308 +#3109: -1.79769e+308 +#3110: -1.79769e+308 +#3111: -1.79769e+308 +#3112: -1.79769e+308 +#3113: -1.79769e+308 +#3114: -1.79769e+308 +#3115: -1.79769e+308 +#3116: -1.79769e+308 +#3117: -1.79769e+308 +#3118: -1.79769e+308 +#3119: -1.79769e+308 +#3120: -1.79769e+308 +#3121: -1.79769e+308 +#3122: -1.79769e+308 +#3123: -1.79769e+308 +#3124: -1.79769e+308 +#3125: -1.79769e+308 +#3126: -1.79769e+308 +#3127: -1.79769e+308 +#3128: -1.79769e+308 +#3129: -1.79769e+308 +#3130: -1.79769e+308 +#3131: -1.79769e+308 +#3132: -1.79769e+308 +#3133: -1.79769e+308 +#3134: -1.79769e+308 +#3135: -1.79769e+308 +#3136: -1.79769e+308 +#3137: -1.79769e+308 +#3138: -1.79769e+308 +#3139: -1.79769e+308 +#3140: -1.79769e+308 +#3141: -1.79769e+308 +#3142: -1.79769e+308 +#3143: -1.79769e+308 +#3144: -1.79769e+308 +#3145: -1.79769e+308 +#3146: -1.79769e+308 +#3147: -1.79769e+308 +#3148: -1.79769e+308 +#3149: -1.79769e+308 +#3150: -1.79769e+308 +#3151: -1.79769e+308 +#3152: -1.79769e+308 +#3153: -1.79769e+308 +#3154: -1.79769e+308 +#3155: -1.79769e+308 +#3156: -1.79769e+308 +#3157: -1.79769e+308 +#3158: -1.79769e+308 +#3159: -1.79769e+308 +#3160: -1.79769e+308 +#3161: -1.79769e+308 +#3162: -1.79769e+308 +#3163: -1.79769e+308 +#3164: -1.79769e+308 +#3165: -1.79769e+308 +#3166: -1.79769e+308 +#3167: -1.79769e+308 +#3168: -1.79769e+308 +#3169: -1.79769e+308 +#3170: -1.79769e+308 +#3171: -1.79769e+308 +#3172: -1.79769e+308 +#3173: -9223372036854775808 +#3174: -9223372036854775808 +#3175: -9223372036854775808 +#3176: -9223372036854775808 +#3177: -9223372036854775808 +#3178: -9223372036854775808 +#3179: -9223372036854775808 +#3180: -9223372036854775808 +#3181: -9223372036854775808 +#3182: -9223372036854775808 +#3183: -9223372036854775808 +#3184: -9223372036854775808 +#3185: -9223372036854775808 +#3186: -9223372036854775808 +#3187: -9223372036854775808 +#3188: -9223372036854775808 +#3189: -9223372036854775808 +#3190: -9223372036854775808 +#3191: -9223372036854775808 +#3192: -9223372036854775808 +#3193: -9223372036854775808 +#3194: -9223372036854775808 +#3195: -9223372036854775808 +#3196: -9223372036854775808 +#3197: -9223372036854775808 +#3198: -9223372036854775808 +#3199: -9223372036854775808 +#3200: -9223372036854775808 +#3201: -9223372036854775808 +#3202: -9223372036854775808 +#3203: -9223372036854775808 +#3204: -9223372036854775808 +#3205: -9223372036854775808 +#3206: -9223372036854775808 +#3207: -9223372036854775808 +#3208: -9223372036854775808 +#3209: -9223372036854775808 +#3210: -9223372036854775808 +#3211: -9223372036854775808 +#3212: -9223372036854775808 +#3213: -9223372036854775808 +#3214: -9223372036854775808 +#3215: -9223372036854775808 +#3216: -9223372036854775808 +#3217: -9223372036854775808 +#3218: -9223372036854775808 +#3219: -9223372036854775808 +#3220: -9223372036854775808 +#3221: -9223372036854775808 +#3222: -9223372036854775808 +#3223: -9223372036854775808 +#3224: -9223372036854775808 +#3225: -9223372036854775808 +#3226: -9223372036854775808 +#3227: -9223372036854775808 +#3228: -9223372036854775808 +#3229: -9223372036854775808 +#3230: -9223372036854775808 +#3231: -9223372036854775808 +#3232: -9223372036854775808 +#3233: -9223372036854775808 +#3234: -9223372036854775808 +#3235: -9223372036854775808 +#3236: -9223372036854775808 +#3237: -9223372036854775808 +#3238: -9223372036854775808 +#3239: -9223372036854775808 +#3240: -9223372036854775808 +#3241: -9223372036854775808 +#3242: -9223372036854775808 +#3243: -9223372036854775808 +#3244: -9223372036854775808 +#3245: -9223372036854775808 +#3246: -9223372036854775808 +#3247: -9223372036854775808 +#3248: -9223372036854775808 +#3249: -9223372036854775808 +#3250: -9223372036854775808 +#3251: -9223372036854775808 +#3252: -9223372036854775808 +#3253: -9223372036854775808 +#3254: -9223372036854775808 +#3255: -9223372036854775808 +#3256: -9223372036854775808 +#3257: -9223372036854775808 +#3258: -9223372036854775808 +#3259: -9223372036854775808 +#3260: -9223372036854775808 +#3261: -9223372036854775808 +#3262: -9223372036854775808 +#3263: -9223372036854775808 +#3264: -9223372036854775808 +#3265: -9223372036854775808 +#3266: -9223372036854775808 +#3267: -9223372036854775808 +#3268: -9223372036854775808 +#3269: -9223372036854775808 +#3270: -9223372036854775808 +#3271: -9223372036854775808 +#3272: -9223372036854775808 +#3273: -9223372036854775808 +#3274: -9223372036854775808 +#3275: -9223372036854775808 +#3276: -9223372036854775808 +#3277: -9223372036854775808 +#3278: -9223372036854775808 +#3279: -9223372036854775808 +#3280: -9223372036854775808 +#3281: -9223372036854775808 +#3282: -9223372036854775808 +#3283: -9223372036854775808 +#3284: -9223372036854775808 +#3285: -9223372036854775808 +#3286: -9223372036854775808 +#3287: -9223372036854775808 +#3288: -9223372036854775808 +#3289: -9223372036854775808 +#3290: -9223372036854775808 +#3291: -9223372036854775808 +#3292: -9223372036854775808 +#3293: -9223372036854775808 +#3294: -9223372036854775808 +#3295: -9223372036854775808 +#3296: -9223372036854775808 +#3297: -9223372036854775808 +#3298: -9223372036854775808 +#3299: -9223372036854775808 +#3300: -9223372036854775808 +#3301: -9223372036854775808 +#3302: -9223372036854775808 +#3303: -9223372036854775808 +#3304: -9223372036854775808 +#3305: -9223372036854775808 +#3306: -9223372036854775808 +#3307: -9223372036854775808 +#3308: -9223372036854775808 +#3309: -9223372036854775808 +#3310: -9223372036854775808 +#3311: -9223372036854775808 +#3312: -9223372036854775808 +#3313: -9223372036854775808 +#3314: -9223372036854775808 +#3315: -9223372036854775808 +#3316: -9223372036854775808 +#3317: -9223372036854775808 +#3318: -9223372036854775808 +#3319: -9223372036854775808 +#3320: -9223372036854775808 +#3321: -9223372036854775808 +#3322: -9223372036854775808 +#3323: -9223372036854775808 +#3324: -9223372036854775808 +#3325: -9223372036854775808 +#3326: -9223372036854775808 +#3327: -9223372036854775808 +#3328: -9223372036854775808 +#3329: -9223372036854775808 +#3330: -9223372036854775808 +#3331: -9223372036854775808 +#3332: -9223372036854775808 +#3333: -9223372036854775808 +#3334: -9223372036854775808 +#3335: -9223372036854775808 +#3336: -9223372036854775808 +#3337: -9223372036854775808 +#3338: -9223372036854775808 +#3339: -9223372036854775808 +#3340: -9223372036854775808 +#3341: -9223372036854775808 +#3342: -9223372036854775808 +#3343: -9223372036854775808 +#3344: -9223372036854775808 +#3345: -9223372036854775808 +#3346: -9223372036854775808 +#3347: -9223372036854775808 +#3348: -9223372036854775808 +#3349: -9223372036854775808 +#3350: -9223372036854775808 +#3351: -9223372036854775808 +#3352: -9223372036854775808 +#3353: -9223372036854775808 +#3354: -9223372036854775808 +#3355: -9223372036854775808 +#3356: -9223372036854775808 +#3357: -9223372036854775808 +#3358: -9223372036854775808 +#3359: -9223372036854775808 +#3360: -9223372036854775808 +#3361: -9223372036854775808 +#3362: -9223372036854775808 +#3363: -9223372036854775808 +#3364: -9223372036854775808 +#3365: -9223372036854775808 +#3366: -9223372036854775808 +#3367: -9223372036854775808 +#3368: -9223372036854775808 +#3369: -9223372036854775808 +#3370: -9223372036854775808 +#3371: -9223372036854775808 +#3372: -9223372036854775808 +#3373: -9223372036854775808 +#3374: -9223372036854775808 +#3375: -9223372036854775808 +#3376: -9223372036854775808 +#3377: -9223372036854775808 +#3378: -9223372036854775808 +#3379: -9223372036854775808 +#3380: -9223372036854775808 +#3381: -9223372036854775808 +#3382: -9223372036854775808 +#3383: -9223372036854775808 +#3384: -9223372036854775808 +#3385: -9223372036854775808 +#3386: -9223372036854775808 +#3387: -9223372036854775808 +#3388: -9223372036854775808 +#3389: -9223372036854775808 +#3390: -9223372036854775808 +#3391: -9223372036854775808 +#3392: -9223372036854775808 +#3393: -9223372036854775808 +#3394: -9223372036854775808 +#3395: -9223372036854775808 +#3396: -9223372036854775808 +#3397: -9223372036854775808 +#3398: -9223372036854775808 +#3399: -9223372036854775808 +#3400: -9223372036854775808 +#3401: -9223372036854775808 +#3402: -9223372036854775808 +#3403: -9223372036854775808 +#3404: -9223372036854775808 +#3405: -9223372036854775808 +#3406: -9223372036854775808 +#3407: -9223372036854775808 +#3408: -9223372036854775808 +#3409: -9223372036854775808 +#3410: -9223372036854775808 +#3411: -9223372036854775808 +#3412: -9223372036854775808 +#3413: -9223372036854775808 +#3414: -9223372036854775808 +#3415: -9223372036854775808 +#3416: -9223372036854775808 +#3417: -9223372036854775808 +#3418: -9223372036854775808 +#3419: -9223372036854775808 +#3420: -9223372036854775808 +#3421: -9223372036854775808 +#3422: -9223372036854775808 +#3423: -9223372036854775808 +#3424: -9223372036854775808 +#3425: -9223372036854775808 +#3426: -9223372036854775808 +#3427: -9223372036854775808 +#3428: -9223372036854775808 +#3429: 0 +#3430: 0 +#3431: 0 +#3432: 0 +#3433: 0 +#3434: 0 +#3435: 0 +#3436: 0 +#3437: 0 +#3438: 0 +#3439: 0 +#3440: 0 +#3441: 0 +#3442: 0 +#3443: 0 +#3444: 0 +#3445: 0 +#3446: 0 +#3447: 0 +#3448: 0 +#3449: 0 +#3450: 0 +#3451: 0 +#3452: 0 +#3453: 0 +#3454: 0 +#3455: 0 +#3456: 0 +#3457: 0 +#3458: 0 +#3459: 0 +#3460: 0 +#3461: 0 +#3462: 0 +#3463: 0 +#3464: 0 +#3465: 0 +#3466: 0 +#3467: 0 +#3468: 0 +#3469: 0 +#3470: 0 +#3471: 0 +#3472: 0 +#3473: 0 +#3474: 0 +#3475: 0 +#3476: 0 +#3477: 0 +#3478: 0 +#3479: 0 +#3480: 0 +#3481: 0 +#3482: 0 +#3483: 0 +#3484: 0 +#3485: 0 +#3486: 0 +#3487: 0 +#3488: 0 +#3489: 0 +#3490: 0 +#3491: 0 +#3492: 0 +#3493: 0 +#3494: 0 +#3495: 0 +#3496: 0 +#3497: 0 +#3498: 0 +#3499: 0 +#3500: 0 +#3501: 0 +#3502: 0 +#3503: 0 +#3504: 0 +#3505: 0 +#3506: 0 +#3507: 0 +#3508: 0 +#3509: 0 +#3510: 0 +#3511: 0 +#3512: 0 +#3513: 0 +#3514: 0 +#3515: 0 +#3516: 0 +#3517: 0 +#3518: 0 +#3519: 0 +#3520: 0 +#3521: 0 +#3522: 0 +#3523: 0 +#3524: 0 +#3525: 0 +#3526: 0 +#3527: 0 +#3528: 0 +#3529: 0 +#3530: 0 +#3531: 0 +#3532: 0 +#3533: 0 +#3534: 0 +#3535: 0 +#3536: 0 +#3537: 0 +#3538: 0 +#3539: 0 +#3540: 0 +#3541: 0 +#3542: 0 +#3543: 0 +#3544: 0 +#3545: 0 +#3546: 0 +#3547: 0 +#3548: 0 +#3549: 0 +#3550: 0 +#3551: 0 +#3552: 0 +#3553: 0 +#3554: 0 +#3555: 0 +#3556: 0 +#3557: 0 +#3558: 0 +#3559: 0 +#3560: 0 +#3561: 0 +#3562: 0 +#3563: 0 +#3564: 0 +#3565: 0 +#3566: 0 +#3567: 0 +#3568: 0 +#3569: 0 +#3570: 0 +#3571: 0 +#3572: 0 +#3573: 0 +#3574: 0 +#3575: 0 +#3576: 0 +#3577: 0 +#3578: 0 +#3579: 0 +#3580: 0 +#3581: 0 +#3582: 0 +#3583: 0 +#3584: 0 +#3585: 0 +#3586: 0 +#3587: 0 +#3588: 0 +#3589: 0 +#3590: 0 +#3591: 0 +#3592: 0 +#3593: 0 +#3594: 0 +#3595: 0 +#3596: 0 +#3597: 0 +#3598: 0 +#3599: 0 +#3600: 0 +#3601: 0 +#3602: 0 +#3603: 0 +#3604: 0 +#3605: 0 +#3606: 0 +#3607: 0 +#3608: 0 +#3609: 0 +#3610: 0 +#3611: 0 +#3612: 0 +#3613: 0 +#3614: 0 +#3615: 0 +#3616: 0 +#3617: 0 +#3618: 0 +#3619: 0 +#3620: 0 +#3621: 0 +#3622: 0 +#3623: 0 +#3624: 0 +#3625: 0 +#3626: 0 +#3627: 0 +#3628: 0 +#3629: 0 +#3630: 0 +#3631: 0 +#3632: 0 +#3633: 0 +#3634: 0 +#3635: 0 +#3636: 0 +#3637: 0 +#3638: 0 +#3639: 0 +#3640: 0 +#3641: 0 +#3642: 0 +#3643: 0 +#3644: 0 +#3645: 0 +#3646: 0 +#3647: 0 +#3648: 0 +#3649: 0 +#3650: 0 +#3651: 0 +#3652: 0 +#3653: 0 +#3654: 0 +#3655: 0 +#3656: 0 +#3657: 0 +#3658: 0 +#3659: 0 +#3660: 0 +#3661: 0 +#3662: 0 +#3663: 0 +#3664: 0 +#3665: 0 +#3666: 0 +#3667: 0 +#3668: 0 +#3669: 0 +#3670: 0 +#3671: 0 +#3672: 0 +#3673: 0 +#3674: 0 +#3675: 0 +#3676: 0 +#3677: 0 +#3678: 0 +#3679: 0 +#3680: 0 +#3681: 0 +#3682: 0 +#3683: 0 +#3684: 0 +#3685: 1 +#3686: 1 +#3687: 1 +#3688: 1 +#3689: 1 +#3690: 1 +#3691: 1 +#3692: 1 +#3693: 1 +#3694: 1 +#3695: 1 +#3696: 1 +#3697: 1 +#3698: 1 +#3699: 1 +#3700: 1 +#3701: 1 +#3702: 1 +#3703: 1 +#3704: 1 +#3705: 1 +#3706: 1 +#3707: 1 +#3708: 1 +#3709: 1 +#3710: 1 +#3711: 1 +#3712: 1 +#3713: 1 +#3714: 1 +#3715: 1 +#3716: 1 +#3717: 1 +#3718: 1 +#3719: 1 +#3720: 1 +#3721: 1 +#3722: 1 +#3723: 1 +#3724: 1 +#3725: 1 +#3726: 1 +#3727: 1 +#3728: 1 +#3729: 1 +#3730: 1 +#3731: 1 +#3732: 1 +#3733: 1 +#3734: 1 +#3735: 1 +#3736: 1 +#3737: 1 +#3738: 1 +#3739: 1 +#3740: 1 +#3741: 1 +#3742: 1 +#3743: 1 +#3744: 1 +#3745: 1 +#3746: 1 +#3747: 1 +#3748: 1 +#3749: 1 +#3750: 1 +#3751: 1 +#3752: 1 +#3753: 1 +#3754: 1 +#3755: 1 +#3756: 1 +#3757: 1 +#3758: 1 +#3759: 1 +#3760: 1 +#3761: 1 +#3762: 1 +#3763: 1 +#3764: 1 +#3765: 1 +#3766: 1 +#3767: 1 +#3768: 1 +#3769: 1 +#3770: 1 +#3771: 1 +#3772: 1 +#3773: 1 +#3774: 1 +#3775: 1 +#3776: 1 +#3777: 1 +#3778: 1 +#3779: 1 +#3780: 1 +#3781: 1 +#3782: 1 +#3783: 1 +#3784: 1 +#3785: 1 +#3786: 1 +#3787: 1 +#3788: 1 +#3789: 1 +#3790: 1 +#3791: 1 +#3792: 1 +#3793: 1 +#3794: 1 +#3795: 1 +#3796: 1 +#3797: 1 +#3798: 1 +#3799: 1 +#3800: 1 +#3801: 1 +#3802: 1 +#3803: 1 +#3804: 1 +#3805: 1 +#3806: 1 +#3807: 1 +#3808: 1 +#3809: 1 +#3810: 1 +#3811: 1 +#3812: 1 +#3813: 1 +#3814: 1 +#3815: 1 +#3816: 1 +#3817: 1 +#3818: 1 +#3819: 1 +#3820: 1 +#3821: 1 +#3822: 1 +#3823: 1 +#3824: 1 +#3825: 1 +#3826: 1 +#3827: 1 +#3828: 1 +#3829: 1 +#3830: 1 +#3831: 1 +#3832: 1 +#3833: 1 +#3834: 1 +#3835: 1 +#3836: 1 +#3837: 1 +#3838: 1 +#3839: 1 +#3840: 1 +#3841: 1 +#3842: 1 +#3843: 1 +#3844: 1 +#3845: 1 +#3846: 1 +#3847: 1 +#3848: 1 +#3849: 1 +#3850: 1 +#3851: 1 +#3852: 1 +#3853: 1 +#3854: 1 +#3855: 1 +#3856: 1 +#3857: 1 +#3858: 1 +#3859: 1 +#3860: 1 +#3861: 1 +#3862: 1 +#3863: 1 +#3864: 1 +#3865: 1 +#3866: 1 +#3867: 1 +#3868: 1 +#3869: 1 +#3870: 1 +#3871: 1 +#3872: 1 +#3873: 1 +#3874: 1 +#3875: 1 +#3876: 1 +#3877: 1 +#3878: 1 +#3879: 1 +#3880: 1 +#3881: 1 +#3882: 1 +#3883: 1 +#3884: 1 +#3885: 1 +#3886: 1 +#3887: 1 +#3888: 1 +#3889: 1 +#3890: 1 +#3891: 1 +#3892: 1 +#3893: 1 +#3894: 1 +#3895: 1 +#3896: 1 +#3897: 1 +#3898: 1 +#3899: 1 +#3900: 1 +#3901: 1 +#3902: 1 +#3903: 1 +#3904: 1 +#3905: 1 +#3906: 1 +#3907: 1 +#3908: 1 +#3909: 1 +#3910: 1 +#3911: 1 +#3912: 1 +#3913: 1 +#3914: 1 +#3915: 1 +#3916: 1 +#3917: 1 +#3918: 1 +#3919: 1 +#3920: 1 +#3921: 1 +#3922: 1 +#3923: 1 +#3924: 1 +#3925: 1 +#3926: 1 +#3927: 1 +#3928: 1 +#3929: 1 +#3930: 1 +#3931: 1 +#3932: 1 +#3933: 1 +#3934: 1 +#3935: 1 +#3936: 1 +#3937: 1 +#3938: 1 +#3939: 1 +#3940: 1 +#3941: false (0) +#3942: false (0) +#3943: false (0) +#3944: false (0) +#3945: false (0) +#3946: false (0) +#3947: false (0) +#3948: false (0) +#3949: false (0) +#3950: false (0) +#3951: false (0) +#3952: false (0) +#3953: false (0) +#3954: false (0) +#3955: false (0) +#3956: false (0) +#3957: note (0) +#3958: note (0) +#3959: note (0) +#3960: note (0) +#3961: note (0) +#3962: note (0) +#3963: note (0) +#3964: note (0) +#3965: note (0) +#3966: note (0) +#3967: note (0) +#3968: note (0) +#3969: note (0) +#3970: note (0) +#3971: note (0) +#3972: note (0) +#3973: -2147483648 +#3974: -2147483648 +#3975: -2147483648 +#3976: -2147483648 +#3977: -2147483648 +#3978: -2147483648 +#3979: -2147483648 +#3980: -2147483648 +#3981: -2147483648 +#3982: -2147483648 +#3983: -2147483648 +#3984: -2147483648 +#3985: -2147483648 +#3986: -2147483648 +#3987: -2147483648 +#3988: -2147483648 +#3989: -1.79769e+308 +#3990: -1.79769e+308 +#3991: -1.79769e+308 +#3992: -1.79769e+308 +#3993: -1.79769e+308 +#3994: -1.79769e+308 +#3995: -1.79769e+308 +#3996: -1.79769e+308 +#3997: -1.79769e+308 +#3998: -1.79769e+308 +#3999: -1.79769e+308 +#4000: -1.79769e+308 +#4001: -1.79769e+308 +#4002: -1.79769e+308 +#4003: -1.79769e+308 +#4004: -1.79769e+308 +#4005: -9223372036854775808 +#4006: -9223372036854775808 +#4007: -9223372036854775808 +#4008: -9223372036854775808 +#4009: -9223372036854775808 +#4010: -9223372036854775808 +#4011: -9223372036854775808 +#4012: -9223372036854775808 +#4013: -9223372036854775808 +#4014: -9223372036854775808 +#4015: -9223372036854775808 +#4016: -9223372036854775808 +#4017: -9223372036854775808 +#4018: -9223372036854775808 +#4019: -9223372036854775808 +#4020: -9223372036854775808 +#4021: 0 +#4022: 0 +#4023: 0 +#4024: 0 +#4025: 0 +#4026: 0 +#4027: 0 +#4028: 0 +#4029: 0 +#4030: 0 +#4031: 0 +#4032: 0 +#4033: 0 +#4034: 0 +#4035: 0 +#4036: 0 +#4037: 1 +#4038: 1 +#4039: 1 +#4040: 1 +#4041: 1 +#4042: 1 +#4043: 1 +#4044: 1 +#4045: 1 +#4046: 1 +#4047: 1 +#4048: 1 +#4049: 1 +#4050: 1 +#4051: 1 +#4052: 1 +#4053: false (0) +#4054: false (0) +#4055: false (0) +#4056: false (0) +#4057: false (0) +#4058: false (0) +#4059: false (0) +#4060: false (0) +#4061: false (0) +#4062: false (0) +#4063: false (0) +#4064: false (0) +#4065: false (0) +#4066: false (0) +#4067: false (0) +#4068: false (0) +#4069: note (0) +#4070: note (0) +#4071: note (0) +#4072: note (0) +#4073: note (0) +#4074: note (0) +#4075: note (0) +#4076: note (0) +#4077: note (0) +#4078: note (0) +#4079: note (0) +#4080: note (0) +#4081: note (0) +#4082: note (0) +#4083: note (0) +#4084: note (0) +#4085: -2147483648 +#4086: -2147483648 +#4087: -2147483648 +#4088: -2147483648 +#4089: -2147483648 +#4090: -2147483648 +#4091: -2147483648 +#4092: -2147483648 +#4093: -2147483648 +#4094: -2147483648 +#4095: -2147483648 +#4096: -2147483648 +#4097: -2147483648 +#4098: -2147483648 +#4099: -2147483648 +#4100: -2147483648 +#4101: -1.79769e+308 +#4102: -1.79769e+308 +#4103: -1.79769e+308 +#4104: -1.79769e+308 +#4105: -1.79769e+308 +#4106: -1.79769e+308 +#4107: -1.79769e+308 +#4108: -1.79769e+308 +#4109: -1.79769e+308 +#4110: -1.79769e+308 +#4111: -1.79769e+308 +#4112: -1.79769e+308 +#4113: -1.79769e+308 +#4114: -1.79769e+308 +#4115: -1.79769e+308 +#4116: -1.79769e+308 +#4117: -9223372036854775808 +#4118: -9223372036854775808 +#4119: -9223372036854775808 +#4120: -9223372036854775808 +#4121: -9223372036854775808 +#4122: -9223372036854775808 +#4123: -9223372036854775808 +#4124: -9223372036854775808 +#4125: -9223372036854775808 +#4126: -9223372036854775808 +#4127: -9223372036854775808 +#4128: -9223372036854775808 +#4129: -9223372036854775808 +#4130: -9223372036854775808 +#4131: -9223372036854775808 +#4132: -9223372036854775808 +#4133: 0 +#4134: 0 +#4135: 0 +#4136: 0 +#4137: 0 +#4138: 0 +#4139: 0 +#4140: 0 +#4141: 0 +#4142: 0 +#4143: 0 +#4144: 0 +#4145: 0 +#4146: 0 +#4147: 0 +#4148: 0 +#4149: 1 +#4150: 1 +#4151: 1 +#4152: 1 +#4153: 1 +#4154: 1 +#4155: 1 +#4156: 1 +#4157: 1 +#4158: 1 +#4159: 1 +#4160: 1 +#4161: 1 +#4162: 1 +#4163: 1 +#4164: 1 +#4165: false (0) +#4166: false (0) +#4167: false (0) +#4168: false (0) +#4169: false (0) +#4170: false (0) +#4171: false (0) +#4172: false (0) +#4173: false (0) +#4174: false (0) +#4175: false (0) +#4176: false (0) +#4177: false (0) +#4178: false (0) +#4179: false (0) +#4180: false (0) +#4181: note (0) +#4182: note (0) +#4183: note (0) +#4184: note (0) +#4185: note (0) +#4186: note (0) +#4187: note (0) +#4188: note (0) +#4189: note (0) +#4190: note (0) +#4191: note (0) +#4192: note (0) +#4193: note (0) +#4194: note (0) +#4195: note (0) +#4196: note (0) +#4197: -2147483648 +#4198: -2147483648 +#4199: -2147483648 +#4200: -2147483648 +#4201: -2147483648 +#4202: -2147483648 +#4203: -2147483648 +#4204: -2147483648 +#4205: -2147483648 +#4206: -2147483648 +#4207: -2147483648 +#4208: -2147483648 +#4209: -2147483648 +#4210: -2147483648 +#4211: -2147483648 +#4212: -2147483648 +#4213: -1.79769e+308 +#4214: -1.79769e+308 +#4215: -1.79769e+308 +#4216: -1.79769e+308 +#4217: -1.79769e+308 +#4218: -1.79769e+308 +#4219: -1.79769e+308 +#4220: -1.79769e+308 +#4221: -1.79769e+308 +#4222: -1.79769e+308 +#4223: -1.79769e+308 +#4224: -1.79769e+308 +#4225: -1.79769e+308 +#4226: -1.79769e+308 +#4227: -1.79769e+308 +#4228: -1.79769e+308 +#4229: -9223372036854775808 +#4230: -9223372036854775808 +#4231: -9223372036854775808 +#4232: -9223372036854775808 +#4233: -9223372036854775808 +#4234: -9223372036854775808 +#4235: -9223372036854775808 +#4236: -9223372036854775808 +#4237: -9223372036854775808 +#4238: -9223372036854775808 +#4239: -9223372036854775808 +#4240: -9223372036854775808 +#4241: -9223372036854775808 +#4242: -9223372036854775808 +#4243: -9223372036854775808 +#4244: -9223372036854775808 +#4245: 0 +#4246: 0 +#4247: 0 +#4248: 0 +#4249: 0 +#4250: 0 +#4251: 0 +#4252: 0 +#4253: 0 +#4254: 0 +#4255: 0 +#4256: 0 +#4257: 0 +#4258: 0 +#4259: 0 +#4260: 0 +#4261: 1 +#4262: 1 +#4263: 1 +#4264: 1 +#4265: 1 +#4266: 1 +#4267: 1 +#4268: 1 +#4269: 1 +#4270: 1 +#4271: 1 +#4272: 1 +#4273: 1 +#4274: 1 +#4275: 1 +#4276: 1 +#4277: false (0) +#4278: false (0) +#4279: false (0) +#4280: false (0) +#4281: false (0) +#4282: false (0) +#4283: false (0) +#4284: false (0) +#4285: false (0) +#4286: false (0) +#4287: false (0) +#4288: false (0) +#4289: false (0) +#4290: false (0) +#4291: false (0) +#4292: false (0) +#4293: note (0) +#4294: note (0) +#4295: note (0) +#4296: note (0) +#4297: note (0) +#4298: note (0) +#4299: note (0) +#4300: note (0) +#4301: note (0) +#4302: note (0) +#4303: note (0) +#4304: note (0) +#4305: note (0) +#4306: note (0) +#4307: note (0) +#4308: note (0) +#4309: -2147483648 +#4310: -2147483648 +#4311: -2147483648 +#4312: -2147483648 +#4313: -2147483648 +#4314: -2147483648 +#4315: -2147483648 +#4316: -2147483648 +#4317: -2147483648 +#4318: -2147483648 +#4319: -2147483648 +#4320: -2147483648 +#4321: -2147483648 +#4322: -2147483648 +#4323: -2147483648 +#4324: -2147483648 +#4325: -1.79769e+308 +#4326: -1.79769e+308 +#4327: -1.79769e+308 +#4328: -1.79769e+308 +#4329: -1.79769e+308 +#4330: -1.79769e+308 +#4331: -1.79769e+308 +#4332: -1.79769e+308 +#4333: -1.79769e+308 +#4334: -1.79769e+308 +#4335: -1.79769e+308 +#4336: -1.79769e+308 +#4337: -1.79769e+308 +#4338: -1.79769e+308 +#4339: -1.79769e+308 +#4340: -1.79769e+308 +#4341: -9223372036854775808 +#4342: -9223372036854775808 +#4343: -9223372036854775808 +#4344: -9223372036854775808 +#4345: -9223372036854775808 +#4346: -9223372036854775808 +#4347: -9223372036854775808 +#4348: -9223372036854775808 +#4349: -9223372036854775808 +#4350: -9223372036854775808 +#4351: -9223372036854775808 +#4352: -9223372036854775808 +#4353: -9223372036854775808 +#4354: -9223372036854775808 +#4355: -9223372036854775808 +#4356: -9223372036854775808 +#4357: 0 +#4358: 0 +#4359: 0 +#4360: 0 +#4361: 0 +#4362: 0 +#4363: 0 +#4364: 0 +#4365: 0 +#4366: 0 +#4367: 0 +#4368: 0 +#4369: 0 +#4370: 0 +#4371: 0 +#4372: 0 +#4373: 1 +#4374: 1 +#4375: 1 +#4376: 1 +#4377: 1 +#4378: 1 +#4379: 1 +#4380: 1 +#4381: 1 +#4382: 1 +#4383: 1 +#4384: 1 +#4385: 1 +#4386: 1 +#4387: 1 +#4388: 1 +#4389: false (0) +#4390: '0' (0) +#4391: nul (0) +#4392: note (0) +#4393: -2147483648 +#4394: -1.79769e+308 +#4395: -9223372036854775808 +#4396: 0 +#4397: 1 +#4398: nul (0) +#4399: nul (0) +#4400: nul (0) +#4401: nul (0) +#4402: nul (0) +#4403: nul (0) +#4404: nul (0) +#4405: '0' (0) +#4406: '0' (0) +#4407: '0' (0) +#4408: '0' (0) +#4409: false (0) +#4410: false (0) +#4411: false (0) +#4412: false (0) +#4413: false (0) +#4414: false (0) +#4415: false (0) +#4416: false (0) +#4417: false (0) +#4418: false (0) +#4419: false (0) +#4420: false (0) +#4421: false (0) +#4422: false (0) +#4423: false (0) +#4424: false (0) +#4425: note (0) +#4426: note (0) +#4427: note (0) +#4428: note (0) +#4429: note (0) +#4430: note (0) +#4431: note (0) +#4432: note (0) +#4433: note (0) +#4434: note (0) +#4435: note (0) +#4436: note (0) +#4437: note (0) +#4438: note (0) +#4439: note (0) +#4440: note (0) +#4441: -2147483648 +#4442: -2147483648 +#4443: -2147483648 +#4444: -2147483648 +#4445: -2147483648 +#4446: -2147483648 +#4447: -2147483648 +#4448: -2147483648 +#4449: -2147483648 +#4450: -2147483648 +#4451: -2147483648 +#4452: -2147483648 +#4453: -2147483648 +#4454: -2147483648 +#4455: -2147483648 +#4456: -2147483648 +#4457: -1.79769e+308 +#4458: -1.79769e+308 +#4459: -1.79769e+308 +#4460: -1.79769e+308 +#4461: -1.79769e+308 +#4462: -1.79769e+308 +#4463: -1.79769e+308 +#4464: -1.79769e+308 +#4465: -1.79769e+308 +#4466: -1.79769e+308 +#4467: -1.79769e+308 +#4468: -1.79769e+308 +#4469: -1.79769e+308 +#4470: -1.79769e+308 +#4471: -1.79769e+308 +#4472: -1.79769e+308 +#4473: -9223372036854775808 +#4474: -9223372036854775808 +#4475: -9223372036854775808 +#4476: -9223372036854775808 +#4477: -9223372036854775808 +#4478: -9223372036854775808 +#4479: -9223372036854775808 +#4480: -9223372036854775808 +#4481: -9223372036854775808 +#4482: -9223372036854775808 +#4483: -9223372036854775808 +#4484: -9223372036854775808 +#4485: -9223372036854775808 +#4486: -9223372036854775808 +#4487: -9223372036854775808 +#4488: -9223372036854775808 +#4489: 0 +#4490: 0 +#4491: 0 +#4492: 0 +#4493: 0 +#4494: 0 +#4495: 0 +#4496: 0 +#4497: 0 +#4498: 0 +#4499: 0 +#4500: 0 +#4501: 0 +#4502: 0 +#4503: 0 +#4504: 0 +#4505: 1 +#4506: 1 +#4507: 1 +#4508: 1 +#4509: 1 +#4510: 1 +#4511: 1 +#4512: 1 +#4513: 1 +#4514: 1 +#4515: 1 +#4516: 1 +#4517: 1 +#4518: 1 +#4519: 1 +#4520: 1 +#4521: false (0) +#4522: false (0) +#4523: false (0) +#4524: false (0) +#4525: false (0) +#4526: false (0) +#4527: false (0) +#4528: false (0) +#4529: false (0) +#4530: false (0) +#4531: false (0) +#4532: false (0) +#4533: false (0) +#4534: false (0) +#4535: false (0) +#4536: false (0) +#4537: false (0) +#4538: false (0) +#4539: false (0) +#4540: false (0) +#4541: false (0) +#4542: false (0) +#4543: false (0) +#4544: false (0) +#4545: false (0) +#4546: false (0) +#4547: false (0) +#4548: false (0) +#4549: false (0) +#4550: false (0) +#4551: false (0) +#4552: false (0) +#4553: false (0) +#4554: false (0) +#4555: false (0) +#4556: false (0) +#4557: false (0) +#4558: false (0) +#4559: false (0) +#4560: false (0) +#4561: false (0) +#4562: false (0) +#4563: false (0) +#4564: false (0) +#4565: false (0) +#4566: false (0) +#4567: false (0) +#4568: false (0) +#4569: false (0) +#4570: false (0) +#4571: false (0) +#4572: false (0) +#4573: false (0) +#4574: false (0) +#4575: false (0) +#4576: false (0) +#4577: false (0) +#4578: false (0) +#4579: false (0) +#4580: false (0) +#4581: false (0) +#4582: false (0) +#4583: false (0) +#4584: false (0) +#4585: false (0) +#4586: false (0) +#4587: false (0) +#4588: false (0) +#4589: false (0) +#4590: false (0) +#4591: false (0) +#4592: false (0) +#4593: false (0) +#4594: false (0) +#4595: false (0) +#4596: false (0) +#4597: false (0) +#4598: false (0) +#4599: false (0) +#4600: false (0) +#4601: false (0) +#4602: false (0) +#4603: false (0) +#4604: false (0) +#4605: false (0) +#4606: false (0) +#4607: false (0) +#4608: false (0) +#4609: false (0) +#4610: false (0) +#4611: false (0) +#4612: false (0) +#4613: false (0) +#4614: false (0) +#4615: false (0) +#4616: false (0) +#4617: false (0) +#4618: false (0) +#4619: false (0) +#4620: false (0) +#4621: false (0) +#4622: false (0) +#4623: false (0) +#4624: false (0) +#4625: false (0) +#4626: false (0) +#4627: false (0) +#4628: false (0) +#4629: false (0) +#4630: false (0) +#4631: false (0) +#4632: false (0) +#4633: false (0) +#4634: false (0) +#4635: false (0) +#4636: false (0) +#4637: false (0) +#4638: false (0) +#4639: false (0) +#4640: false (0) +#4641: false (0) +#4642: false (0) +#4643: false (0) +#4644: false (0) +#4645: false (0) +#4646: false (0) +#4647: false (0) +#4648: false (0) +#4649: false (0) +#4650: false (0) +#4651: false (0) +#4652: false (0) +#4653: false (0) +#4654: false (0) +#4655: false (0) +#4656: false (0) +#4657: false (0) +#4658: false (0) +#4659: false (0) +#4660: false (0) +#4661: false (0) +#4662: false (0) +#4663: false (0) +#4664: false (0) +#4665: false (0) +#4666: false (0) +#4667: false (0) +#4668: false (0) +#4669: false (0) +#4670: false (0) +#4671: false (0) +#4672: false (0) +#4673: false (0) +#4674: false (0) +#4675: false (0) +#4676: false (0) +#4677: false (0) +#4678: false (0) +#4679: false (0) +#4680: false (0) +#4681: false (0) +#4682: false (0) +#4683: false (0) +#4684: false (0) +#4685: false (0) +#4686: false (0) +#4687: false (0) +#4688: false (0) +#4689: false (0) +#4690: false (0) +#4691: false (0) +#4692: false (0) +#4693: false (0) +#4694: false (0) +#4695: false (0) +#4696: false (0) +#4697: false (0) +#4698: false (0) +#4699: false (0) +#4700: false (0) +#4701: false (0) +#4702: false (0) +#4703: false (0) +#4704: false (0) +#4705: false (0) +#4706: false (0) +#4707: false (0) +#4708: false (0) +#4709: false (0) +#4710: false (0) +#4711: false (0) +#4712: false (0) +#4713: false (0) +#4714: false (0) +#4715: false (0) +#4716: false (0) +#4717: false (0) +#4718: false (0) +#4719: false (0) +#4720: false (0) +#4721: false (0) +#4722: false (0) +#4723: false (0) +#4724: false (0) +#4725: false (0) +#4726: false (0) +#4727: false (0) +#4728: false (0) +#4729: false (0) +#4730: false (0) +#4731: false (0) +#4732: false (0) +#4733: false (0) +#4734: false (0) +#4735: false (0) +#4736: false (0) +#4737: false (0) +#4738: false (0) +#4739: false (0) +#4740: false (0) +#4741: false (0) +#4742: false (0) +#4743: false (0) +#4744: false (0) +#4745: false (0) +#4746: false (0) +#4747: false (0) +#4748: false (0) +#4749: false (0) +#4750: false (0) +#4751: false (0) +#4752: false (0) +#4753: false (0) +#4754: false (0) +#4755: false (0) +#4756: false (0) +#4757: false (0) +#4758: false (0) +#4759: false (0) +#4760: false (0) +#4761: false (0) +#4762: false (0) +#4763: false (0) +#4764: false (0) +#4765: false (0) +#4766: false (0) +#4767: false (0) +#4768: false (0) +#4769: false (0) +#4770: false (0) +#4771: false (0) +#4772: false (0) +#4773: false (0) +#4774: false (0) +#4775: false (0) +#4776: false (0) +#4777: note (0) +#4778: note (0) +#4779: note (0) +#4780: note (0) +#4781: note (0) +#4782: note (0) +#4783: note (0) +#4784: note (0) +#4785: note (0) +#4786: note (0) +#4787: note (0) +#4788: note (0) +#4789: note (0) +#4790: note (0) +#4791: note (0) +#4792: note (0) +#4793: note (0) +#4794: note (0) +#4795: note (0) +#4796: note (0) +#4797: note (0) +#4798: note (0) +#4799: note (0) +#4800: note (0) +#4801: note (0) +#4802: note (0) +#4803: note (0) +#4804: note (0) +#4805: note (0) +#4806: note (0) +#4807: note (0) +#4808: note (0) +#4809: note (0) +#4810: note (0) +#4811: note (0) +#4812: note (0) +#4813: note (0) +#4814: note (0) +#4815: note (0) +#4816: note (0) +#4817: note (0) +#4818: note (0) +#4819: note (0) +#4820: note (0) +#4821: note (0) +#4822: note (0) +#4823: note (0) +#4824: note (0) +#4825: note (0) +#4826: note (0) +#4827: note (0) +#4828: note (0) +#4829: note (0) +#4830: note (0) +#4831: note (0) +#4832: note (0) +#4833: note (0) +#4834: note (0) +#4835: note (0) +#4836: note (0) +#4837: note (0) +#4838: note (0) +#4839: note (0) +#4840: note (0) +#4841: note (0) +#4842: note (0) +#4843: note (0) +#4844: note (0) +#4845: note (0) +#4846: note (0) +#4847: note (0) +#4848: note (0) +#4849: note (0) +#4850: note (0) +#4851: note (0) +#4852: note (0) +#4853: note (0) +#4854: note (0) +#4855: note (0) +#4856: note (0) +#4857: note (0) +#4858: note (0) +#4859: note (0) +#4860: note (0) +#4861: note (0) +#4862: note (0) +#4863: note (0) +#4864: note (0) +#4865: note (0) +#4866: note (0) +#4867: note (0) +#4868: note (0) +#4869: note (0) +#4870: note (0) +#4871: note (0) +#4872: note (0) +#4873: note (0) +#4874: note (0) +#4875: note (0) +#4876: note (0) +#4877: note (0) +#4878: note (0) +#4879: note (0) +#4880: note (0) +#4881: note (0) +#4882: note (0) +#4883: note (0) +#4884: note (0) +#4885: note (0) +#4886: note (0) +#4887: note (0) +#4888: note (0) +#4889: note (0) +#4890: note (0) +#4891: note (0) +#4892: note (0) +#4893: note (0) +#4894: note (0) +#4895: note (0) +#4896: note (0) +#4897: note (0) +#4898: note (0) +#4899: note (0) +#4900: note (0) +#4901: note (0) +#4902: note (0) +#4903: note (0) +#4904: note (0) +#4905: note (0) +#4906: note (0) +#4907: note (0) +#4908: note (0) +#4909: note (0) +#4910: note (0) +#4911: note (0) +#4912: note (0) +#4913: note (0) +#4914: note (0) +#4915: note (0) +#4916: note (0) +#4917: note (0) +#4918: note (0) +#4919: note (0) +#4920: note (0) +#4921: note (0) +#4922: note (0) +#4923: note (0) +#4924: note (0) +#4925: note (0) +#4926: note (0) +#4927: note (0) +#4928: note (0) +#4929: note (0) +#4930: note (0) +#4931: note (0) +#4932: note (0) +#4933: note (0) +#4934: note (0) +#4935: note (0) +#4936: note (0) +#4937: note (0) +#4938: note (0) +#4939: note (0) +#4940: note (0) +#4941: note (0) +#4942: note (0) +#4943: note (0) +#4944: note (0) +#4945: note (0) +#4946: note (0) +#4947: note (0) +#4948: note (0) +#4949: note (0) +#4950: note (0) +#4951: note (0) +#4952: note (0) +#4953: note (0) +#4954: note (0) +#4955: note (0) +#4956: note (0) +#4957: note (0) +#4958: note (0) +#4959: note (0) +#4960: note (0) +#4961: note (0) +#4962: note (0) +#4963: note (0) +#4964: note (0) +#4965: note (0) +#4966: note (0) +#4967: note (0) +#4968: note (0) +#4969: note (0) +#4970: note (0) +#4971: note (0) +#4972: note (0) +#4973: note (0) +#4974: note (0) +#4975: note (0) +#4976: note (0) +#4977: note (0) +#4978: note (0) +#4979: note (0) +#4980: note (0) +#4981: note (0) +#4982: note (0) +#4983: note (0) +#4984: note (0) +#4985: note (0) +#4986: note (0) +#4987: note (0) +#4988: note (0) +#4989: note (0) +#4990: note (0) +#4991: note (0) +#4992: note (0) +#4993: note (0) +#4994: note (0) +#4995: note (0) +#4996: note (0) +#4997: note (0) +#4998: note (0) +#4999: note (0) +#5000: note (0) +#5001: note (0) +#5002: note (0) +#5003: note (0) +#5004: note (0) +#5005: note (0) +#5006: note (0) +#5007: note (0) +#5008: note (0) +#5009: note (0) +#5010: note (0) +#5011: note (0) +#5012: note (0) +#5013: note (0) +#5014: note (0) +#5015: note (0) +#5016: note (0) +#5017: note (0) +#5018: note (0) +#5019: note (0) +#5020: note (0) +#5021: note (0) +#5022: note (0) +#5023: note (0) +#5024: note (0) +#5025: note (0) +#5026: note (0) +#5027: note (0) +#5028: note (0) +#5029: note (0) +#5030: note (0) +#5031: note (0) +#5032: note (0) +#5033: -2147483648 +#5034: -2147483648 +#5035: -2147483648 +#5036: -2147483648 +#5037: -2147483648 +#5038: -2147483648 +#5039: -2147483648 +#5040: -2147483648 +#5041: -2147483648 +#5042: -2147483648 +#5043: -2147483648 +#5044: -2147483648 +#5045: -2147483648 +#5046: -2147483648 +#5047: -2147483648 +#5048: -2147483648 +#5049: -2147483648 +#5050: -2147483648 +#5051: -2147483648 +#5052: -2147483648 +#5053: -2147483648 +#5054: -2147483648 +#5055: -2147483648 +#5056: -2147483648 +#5057: -2147483648 +#5058: -2147483648 +#5059: -2147483648 +#5060: -2147483648 +#5061: -2147483648 +#5062: -2147483648 +#5063: -2147483648 +#5064: -2147483648 +#5065: -2147483648 +#5066: -2147483648 +#5067: -2147483648 +#5068: -2147483648 +#5069: -2147483648 +#5070: -2147483648 +#5071: -2147483648 +#5072: -2147483648 +#5073: -2147483648 +#5074: -2147483648 +#5075: -2147483648 +#5076: -2147483648 +#5077: -2147483648 +#5078: -2147483648 +#5079: -2147483648 +#5080: -2147483648 +#5081: -2147483648 +#5082: -2147483648 +#5083: -2147483648 +#5084: -2147483648 +#5085: -2147483648 +#5086: -2147483648 +#5087: -2147483648 +#5088: -2147483648 +#5089: -2147483648 +#5090: -2147483648 +#5091: -2147483648 +#5092: -2147483648 +#5093: -2147483648 +#5094: -2147483648 +#5095: -2147483648 +#5096: -2147483648 +#5097: -2147483648 +#5098: -2147483648 +#5099: -2147483648 +#5100: -2147483648 +#5101: -2147483648 +#5102: -2147483648 +#5103: -2147483648 +#5104: -2147483648 +#5105: -2147483648 +#5106: -2147483648 +#5107: -2147483648 +#5108: -2147483648 +#5109: -2147483648 +#5110: -2147483648 +#5111: -2147483648 +#5112: -2147483648 +#5113: -2147483648 +#5114: -2147483648 +#5115: -2147483648 +#5116: -2147483648 +#5117: -2147483648 +#5118: -2147483648 +#5119: -2147483648 +#5120: -2147483648 +#5121: -2147483648 +#5122: -2147483648 +#5123: -2147483648 +#5124: -2147483648 +#5125: -2147483648 +#5126: -2147483648 +#5127: -2147483648 +#5128: -2147483648 +#5129: -2147483648 +#5130: -2147483648 +#5131: -2147483648 +#5132: -2147483648 +#5133: -2147483648 +#5134: -2147483648 +#5135: -2147483648 +#5136: -2147483648 +#5137: -2147483648 +#5138: -2147483648 +#5139: -2147483648 +#5140: -2147483648 +#5141: -2147483648 +#5142: -2147483648 +#5143: -2147483648 +#5144: -2147483648 +#5145: -2147483648 +#5146: -2147483648 +#5147: -2147483648 +#5148: -2147483648 +#5149: -2147483648 +#5150: -2147483648 +#5151: -2147483648 +#5152: -2147483648 +#5153: -2147483648 +#5154: -2147483648 +#5155: -2147483648 +#5156: -2147483648 +#5157: -2147483648 +#5158: -2147483648 +#5159: -2147483648 +#5160: -2147483648 +#5161: -2147483648 +#5162: -2147483648 +#5163: -2147483648 +#5164: -2147483648 +#5165: -2147483648 +#5166: -2147483648 +#5167: -2147483648 +#5168: -2147483648 +#5169: -2147483648 +#5170: -2147483648 +#5171: -2147483648 +#5172: -2147483648 +#5173: -2147483648 +#5174: -2147483648 +#5175: -2147483648 +#5176: -2147483648 +#5177: -2147483648 +#5178: -2147483648 +#5179: -2147483648 +#5180: -2147483648 +#5181: -2147483648 +#5182: -2147483648 +#5183: -2147483648 +#5184: -2147483648 +#5185: -2147483648 +#5186: -2147483648 +#5187: -2147483648 +#5188: -2147483648 +#5189: -2147483648 +#5190: -2147483648 +#5191: -2147483648 +#5192: -2147483648 +#5193: -2147483648 +#5194: -2147483648 +#5195: -2147483648 +#5196: -2147483648 +#5197: -2147483648 +#5198: -2147483648 +#5199: -2147483648 +#5200: -2147483648 +#5201: -2147483648 +#5202: -2147483648 +#5203: -2147483648 +#5204: -2147483648 +#5205: -2147483648 +#5206: -2147483648 +#5207: -2147483648 +#5208: -2147483648 +#5209: -2147483648 +#5210: -2147483648 +#5211: -2147483648 +#5212: -2147483648 +#5213: -2147483648 +#5214: -2147483648 +#5215: -2147483648 +#5216: -2147483648 +#5217: -2147483648 +#5218: -2147483648 +#5219: -2147483648 +#5220: -2147483648 +#5221: -2147483648 +#5222: -2147483648 +#5223: -2147483648 +#5224: -2147483648 +#5225: -2147483648 +#5226: -2147483648 +#5227: -2147483648 +#5228: -2147483648 +#5229: -2147483648 +#5230: -2147483648 +#5231: -2147483648 +#5232: -2147483648 +#5233: -2147483648 +#5234: -2147483648 +#5235: -2147483648 +#5236: -2147483648 +#5237: -2147483648 +#5238: -2147483648 +#5239: -2147483648 +#5240: -2147483648 +#5241: -2147483648 +#5242: -2147483648 +#5243: -2147483648 +#5244: -2147483648 +#5245: -2147483648 +#5246: -2147483648 +#5247: -2147483648 +#5248: -2147483648 +#5249: -2147483648 +#5250: -2147483648 +#5251: -2147483648 +#5252: -2147483648 +#5253: -2147483648 +#5254: -2147483648 +#5255: -2147483648 +#5256: -2147483648 +#5257: -2147483648 +#5258: -2147483648 +#5259: -2147483648 +#5260: -2147483648 +#5261: -2147483648 +#5262: -2147483648 +#5263: -2147483648 +#5264: -2147483648 +#5265: -2147483648 +#5266: -2147483648 +#5267: -2147483648 +#5268: -2147483648 +#5269: -2147483648 +#5270: -2147483648 +#5271: -2147483648 +#5272: -2147483648 +#5273: -2147483648 +#5274: -2147483648 +#5275: -2147483648 +#5276: -2147483648 +#5277: -2147483648 +#5278: -2147483648 +#5279: -2147483648 +#5280: -2147483648 +#5281: -2147483648 +#5282: -2147483648 +#5283: -2147483648 +#5284: -2147483648 +#5285: -2147483648 +#5286: -2147483648 +#5287: -2147483648 +#5288: -2147483648 +#5289: -1.79769e+308 +#5290: -1.79769e+308 +#5291: -1.79769e+308 +#5292: -1.79769e+308 +#5293: -1.79769e+308 +#5294: -1.79769e+308 +#5295: -1.79769e+308 +#5296: -1.79769e+308 +#5297: -1.79769e+308 +#5298: -1.79769e+308 +#5299: -1.79769e+308 +#5300: -1.79769e+308 +#5301: -1.79769e+308 +#5302: -1.79769e+308 +#5303: -1.79769e+308 +#5304: -1.79769e+308 +#5305: -1.79769e+308 +#5306: -1.79769e+308 +#5307: -1.79769e+308 +#5308: -1.79769e+308 +#5309: -1.79769e+308 +#5310: -1.79769e+308 +#5311: -1.79769e+308 +#5312: -1.79769e+308 +#5313: -1.79769e+308 +#5314: -1.79769e+308 +#5315: -1.79769e+308 +#5316: -1.79769e+308 +#5317: -1.79769e+308 +#5318: -1.79769e+308 +#5319: -1.79769e+308 +#5320: -1.79769e+308 +#5321: -1.79769e+308 +#5322: -1.79769e+308 +#5323: -1.79769e+308 +#5324: -1.79769e+308 +#5325: -1.79769e+308 +#5326: -1.79769e+308 +#5327: -1.79769e+308 +#5328: -1.79769e+308 +#5329: -1.79769e+308 +#5330: -1.79769e+308 +#5331: -1.79769e+308 +#5332: -1.79769e+308 +#5333: -1.79769e+308 +#5334: -1.79769e+308 +#5335: -1.79769e+308 +#5336: -1.79769e+308 +#5337: -1.79769e+308 +#5338: -1.79769e+308 +#5339: -1.79769e+308 +#5340: -1.79769e+308 +#5341: -1.79769e+308 +#5342: -1.79769e+308 +#5343: -1.79769e+308 +#5344: -1.79769e+308 +#5345: -1.79769e+308 +#5346: -1.79769e+308 +#5347: -1.79769e+308 +#5348: -1.79769e+308 +#5349: -1.79769e+308 +#5350: -1.79769e+308 +#5351: -1.79769e+308 +#5352: -1.79769e+308 +#5353: -1.79769e+308 +#5354: -1.79769e+308 +#5355: -1.79769e+308 +#5356: -1.79769e+308 +#5357: -1.79769e+308 +#5358: -1.79769e+308 +#5359: -1.79769e+308 +#5360: -1.79769e+308 +#5361: -1.79769e+308 +#5362: -1.79769e+308 +#5363: -1.79769e+308 +#5364: -1.79769e+308 +#5365: -1.79769e+308 +#5366: -1.79769e+308 +#5367: -1.79769e+308 +#5368: -1.79769e+308 +#5369: -1.79769e+308 +#5370: -1.79769e+308 +#5371: -1.79769e+308 +#5372: -1.79769e+308 +#5373: -1.79769e+308 +#5374: -1.79769e+308 +#5375: -1.79769e+308 +#5376: -1.79769e+308 +#5377: -1.79769e+308 +#5378: -1.79769e+308 +#5379: -1.79769e+308 +#5380: -1.79769e+308 +#5381: -1.79769e+308 +#5382: -1.79769e+308 +#5383: -1.79769e+308 +#5384: -1.79769e+308 +#5385: -1.79769e+308 +#5386: -1.79769e+308 +#5387: -1.79769e+308 +#5388: -1.79769e+308 +#5389: -1.79769e+308 +#5390: -1.79769e+308 +#5391: -1.79769e+308 +#5392: -1.79769e+308 +#5393: -1.79769e+308 +#5394: -1.79769e+308 +#5395: -1.79769e+308 +#5396: -1.79769e+308 +#5397: -1.79769e+308 +#5398: -1.79769e+308 +#5399: -1.79769e+308 +#5400: -1.79769e+308 +#5401: -1.79769e+308 +#5402: -1.79769e+308 +#5403: -1.79769e+308 +#5404: -1.79769e+308 +#5405: -1.79769e+308 +#5406: -1.79769e+308 +#5407: -1.79769e+308 +#5408: -1.79769e+308 +#5409: -1.79769e+308 +#5410: -1.79769e+308 +#5411: -1.79769e+308 +#5412: -1.79769e+308 +#5413: -1.79769e+308 +#5414: -1.79769e+308 +#5415: -1.79769e+308 +#5416: -1.79769e+308 +#5417: -1.79769e+308 +#5418: -1.79769e+308 +#5419: -1.79769e+308 +#5420: -1.79769e+308 +#5421: -1.79769e+308 +#5422: -1.79769e+308 +#5423: -1.79769e+308 +#5424: -1.79769e+308 +#5425: -1.79769e+308 +#5426: -1.79769e+308 +#5427: -1.79769e+308 +#5428: -1.79769e+308 +#5429: -1.79769e+308 +#5430: -1.79769e+308 +#5431: -1.79769e+308 +#5432: -1.79769e+308 +#5433: -1.79769e+308 +#5434: -1.79769e+308 +#5435: -1.79769e+308 +#5436: -1.79769e+308 +#5437: -1.79769e+308 +#5438: -1.79769e+308 +#5439: -1.79769e+308 +#5440: -1.79769e+308 +#5441: -1.79769e+308 +#5442: -1.79769e+308 +#5443: -1.79769e+308 +#5444: -1.79769e+308 +#5445: -1.79769e+308 +#5446: -1.79769e+308 +#5447: -1.79769e+308 +#5448: -1.79769e+308 +#5449: -1.79769e+308 +#5450: -1.79769e+308 +#5451: -1.79769e+308 +#5452: -1.79769e+308 +#5453: -1.79769e+308 +#5454: -1.79769e+308 +#5455: -1.79769e+308 +#5456: -1.79769e+308 +#5457: -1.79769e+308 +#5458: -1.79769e+308 +#5459: -1.79769e+308 +#5460: -1.79769e+308 +#5461: -1.79769e+308 +#5462: -1.79769e+308 +#5463: -1.79769e+308 +#5464: -1.79769e+308 +#5465: -1.79769e+308 +#5466: -1.79769e+308 +#5467: -1.79769e+308 +#5468: -1.79769e+308 +#5469: -1.79769e+308 +#5470: -1.79769e+308 +#5471: -1.79769e+308 +#5472: -1.79769e+308 +#5473: -1.79769e+308 +#5474: -1.79769e+308 +#5475: -1.79769e+308 +#5476: -1.79769e+308 +#5477: -1.79769e+308 +#5478: -1.79769e+308 +#5479: -1.79769e+308 +#5480: -1.79769e+308 +#5481: -1.79769e+308 +#5482: -1.79769e+308 +#5483: -1.79769e+308 +#5484: -1.79769e+308 +#5485: -1.79769e+308 +#5486: -1.79769e+308 +#5487: -1.79769e+308 +#5488: -1.79769e+308 +#5489: -1.79769e+308 +#5490: -1.79769e+308 +#5491: -1.79769e+308 +#5492: -1.79769e+308 +#5493: -1.79769e+308 +#5494: -1.79769e+308 +#5495: -1.79769e+308 +#5496: -1.79769e+308 +#5497: -1.79769e+308 +#5498: -1.79769e+308 +#5499: -1.79769e+308 +#5500: -1.79769e+308 +#5501: -1.79769e+308 +#5502: -1.79769e+308 +#5503: -1.79769e+308 +#5504: -1.79769e+308 +#5505: -1.79769e+308 +#5506: -1.79769e+308 +#5507: -1.79769e+308 +#5508: -1.79769e+308 +#5509: -1.79769e+308 +#5510: -1.79769e+308 +#5511: -1.79769e+308 +#5512: -1.79769e+308 +#5513: -1.79769e+308 +#5514: -1.79769e+308 +#5515: -1.79769e+308 +#5516: -1.79769e+308 +#5517: -1.79769e+308 +#5518: -1.79769e+308 +#5519: -1.79769e+308 +#5520: -1.79769e+308 +#5521: -1.79769e+308 +#5522: -1.79769e+308 +#5523: -1.79769e+308 +#5524: -1.79769e+308 +#5525: -1.79769e+308 +#5526: -1.79769e+308 +#5527: -1.79769e+308 +#5528: -1.79769e+308 +#5529: -1.79769e+308 +#5530: -1.79769e+308 +#5531: -1.79769e+308 +#5532: -1.79769e+308 +#5533: -1.79769e+308 +#5534: -1.79769e+308 +#5535: -1.79769e+308 +#5536: -1.79769e+308 +#5537: -1.79769e+308 +#5538: -1.79769e+308 +#5539: -1.79769e+308 +#5540: -1.79769e+308 +#5541: -1.79769e+308 +#5542: -1.79769e+308 +#5543: -1.79769e+308 +#5544: -1.79769e+308 +#5545: -9223372036854775808 +#5546: -9223372036854775808 +#5547: -9223372036854775808 +#5548: -9223372036854775808 +#5549: -9223372036854775808 +#5550: -9223372036854775808 +#5551: -9223372036854775808 +#5552: -9223372036854775808 +#5553: -9223372036854775808 +#5554: -9223372036854775808 +#5555: -9223372036854775808 +#5556: -9223372036854775808 +#5557: -9223372036854775808 +#5558: -9223372036854775808 +#5559: -9223372036854775808 +#5560: -9223372036854775808 +#5561: -9223372036854775808 +#5562: -9223372036854775808 +#5563: -9223372036854775808 +#5564: -9223372036854775808 +#5565: -9223372036854775808 +#5566: -9223372036854775808 +#5567: -9223372036854775808 +#5568: -9223372036854775808 +#5569: -9223372036854775808 +#5570: -9223372036854775808 +#5571: -9223372036854775808 +#5572: -9223372036854775808 +#5573: -9223372036854775808 +#5574: -9223372036854775808 +#5575: -9223372036854775808 +#5576: -9223372036854775808 +#5577: -9223372036854775808 +#5578: -9223372036854775808 +#5579: -9223372036854775808 +#5580: -9223372036854775808 +#5581: -9223372036854775808 +#5582: -9223372036854775808 +#5583: -9223372036854775808 +#5584: -9223372036854775808 +#5585: -9223372036854775808 +#5586: -9223372036854775808 +#5587: -9223372036854775808 +#5588: -9223372036854775808 +#5589: -9223372036854775808 +#5590: -9223372036854775808 +#5591: -9223372036854775808 +#5592: -9223372036854775808 +#5593: -9223372036854775808 +#5594: -9223372036854775808 +#5595: -9223372036854775808 +#5596: -9223372036854775808 +#5597: -9223372036854775808 +#5598: -9223372036854775808 +#5599: -9223372036854775808 +#5600: -9223372036854775808 +#5601: -9223372036854775808 +#5602: -9223372036854775808 +#5603: -9223372036854775808 +#5604: -9223372036854775808 +#5605: -9223372036854775808 +#5606: -9223372036854775808 +#5607: -9223372036854775808 +#5608: -9223372036854775808 +#5609: -9223372036854775808 +#5610: -9223372036854775808 +#5611: -9223372036854775808 +#5612: -9223372036854775808 +#5613: -9223372036854775808 +#5614: -9223372036854775808 +#5615: -9223372036854775808 +#5616: -9223372036854775808 +#5617: -9223372036854775808 +#5618: -9223372036854775808 +#5619: -9223372036854775808 +#5620: -9223372036854775808 +#5621: -9223372036854775808 +#5622: -9223372036854775808 +#5623: -9223372036854775808 +#5624: -9223372036854775808 +#5625: -9223372036854775808 +#5626: -9223372036854775808 +#5627: -9223372036854775808 +#5628: -9223372036854775808 +#5629: -9223372036854775808 +#5630: -9223372036854775808 +#5631: -9223372036854775808 +#5632: -9223372036854775808 +#5633: -9223372036854775808 +#5634: -9223372036854775808 +#5635: -9223372036854775808 +#5636: -9223372036854775808 +#5637: -9223372036854775808 +#5638: -9223372036854775808 +#5639: -9223372036854775808 +#5640: -9223372036854775808 +#5641: -9223372036854775808 +#5642: -9223372036854775808 +#5643: -9223372036854775808 +#5644: -9223372036854775808 +#5645: -9223372036854775808 +#5646: -9223372036854775808 +#5647: -9223372036854775808 +#5648: -9223372036854775808 +#5649: -9223372036854775808 +#5650: -9223372036854775808 +#5651: -9223372036854775808 +#5652: -9223372036854775808 +#5653: -9223372036854775808 +#5654: -9223372036854775808 +#5655: -9223372036854775808 +#5656: -9223372036854775808 +#5657: -9223372036854775808 +#5658: -9223372036854775808 +#5659: -9223372036854775808 +#5660: -9223372036854775808 +#5661: -9223372036854775808 +#5662: -9223372036854775808 +#5663: -9223372036854775808 +#5664: -9223372036854775808 +#5665: -9223372036854775808 +#5666: -9223372036854775808 +#5667: -9223372036854775808 +#5668: -9223372036854775808 +#5669: -9223372036854775808 +#5670: -9223372036854775808 +#5671: -9223372036854775808 +#5672: -9223372036854775808 +#5673: -9223372036854775808 +#5674: -9223372036854775808 +#5675: -9223372036854775808 +#5676: -9223372036854775808 +#5677: -9223372036854775808 +#5678: -9223372036854775808 +#5679: -9223372036854775808 +#5680: -9223372036854775808 +#5681: -9223372036854775808 +#5682: -9223372036854775808 +#5683: -9223372036854775808 +#5684: -9223372036854775808 +#5685: -9223372036854775808 +#5686: -9223372036854775808 +#5687: -9223372036854775808 +#5688: -9223372036854775808 +#5689: -9223372036854775808 +#5690: -9223372036854775808 +#5691: -9223372036854775808 +#5692: -9223372036854775808 +#5693: -9223372036854775808 +#5694: -9223372036854775808 +#5695: -9223372036854775808 +#5696: -9223372036854775808 +#5697: -9223372036854775808 +#5698: -9223372036854775808 +#5699: -9223372036854775808 +#5700: -9223372036854775808 +#5701: -9223372036854775808 +#5702: -9223372036854775808 +#5703: -9223372036854775808 +#5704: -9223372036854775808 +#5705: -9223372036854775808 +#5706: -9223372036854775808 +#5707: -9223372036854775808 +#5708: -9223372036854775808 +#5709: -9223372036854775808 +#5710: -9223372036854775808 +#5711: -9223372036854775808 +#5712: -9223372036854775808 +#5713: -9223372036854775808 +#5714: -9223372036854775808 +#5715: -9223372036854775808 +#5716: -9223372036854775808 +#5717: -9223372036854775808 +#5718: -9223372036854775808 +#5719: -9223372036854775808 +#5720: -9223372036854775808 +#5721: -9223372036854775808 +#5722: -9223372036854775808 +#5723: -9223372036854775808 +#5724: -9223372036854775808 +#5725: -9223372036854775808 +#5726: -9223372036854775808 +#5727: -9223372036854775808 +#5728: -9223372036854775808 +#5729: -9223372036854775808 +#5730: -9223372036854775808 +#5731: -9223372036854775808 +#5732: -9223372036854775808 +#5733: -9223372036854775808 +#5734: -9223372036854775808 +#5735: -9223372036854775808 +#5736: -9223372036854775808 +#5737: -9223372036854775808 +#5738: -9223372036854775808 +#5739: -9223372036854775808 +#5740: -9223372036854775808 +#5741: -9223372036854775808 +#5742: -9223372036854775808 +#5743: -9223372036854775808 +#5744: -9223372036854775808 +#5745: -9223372036854775808 +#5746: -9223372036854775808 +#5747: -9223372036854775808 +#5748: -9223372036854775808 +#5749: -9223372036854775808 +#5750: -9223372036854775808 +#5751: -9223372036854775808 +#5752: -9223372036854775808 +#5753: -9223372036854775808 +#5754: -9223372036854775808 +#5755: -9223372036854775808 +#5756: -9223372036854775808 +#5757: -9223372036854775808 +#5758: -9223372036854775808 +#5759: -9223372036854775808 +#5760: -9223372036854775808 +#5761: -9223372036854775808 +#5762: -9223372036854775808 +#5763: -9223372036854775808 +#5764: -9223372036854775808 +#5765: -9223372036854775808 +#5766: -9223372036854775808 +#5767: -9223372036854775808 +#5768: -9223372036854775808 +#5769: -9223372036854775808 +#5770: -9223372036854775808 +#5771: -9223372036854775808 +#5772: -9223372036854775808 +#5773: -9223372036854775808 +#5774: -9223372036854775808 +#5775: -9223372036854775808 +#5776: -9223372036854775808 +#5777: -9223372036854775808 +#5778: -9223372036854775808 +#5779: -9223372036854775808 +#5780: -9223372036854775808 +#5781: -9223372036854775808 +#5782: -9223372036854775808 +#5783: -9223372036854775808 +#5784: -9223372036854775808 +#5785: -9223372036854775808 +#5786: -9223372036854775808 +#5787: -9223372036854775808 +#5788: -9223372036854775808 +#5789: -9223372036854775808 +#5790: -9223372036854775808 +#5791: -9223372036854775808 +#5792: -9223372036854775808 +#5793: -9223372036854775808 +#5794: -9223372036854775808 +#5795: -9223372036854775808 +#5796: -9223372036854775808 +#5797: -9223372036854775808 +#5798: -9223372036854775808 +#5799: -9223372036854775808 +#5800: -9223372036854775808 +#5801: 0 +#5802: 0 +#5803: 0 +#5804: 0 +#5805: 0 +#5806: 0 +#5807: 0 +#5808: 0 +#5809: 0 +#5810: 0 +#5811: 0 +#5812: 0 +#5813: 0 +#5814: 0 +#5815: 0 +#5816: 0 +#5817: 0 +#5818: 0 +#5819: 0 +#5820: 0 +#5821: 0 +#5822: 0 +#5823: 0 +#5824: 0 +#5825: 0 +#5826: 0 +#5827: 0 +#5828: 0 +#5829: 0 +#5830: 0 +#5831: 0 +#5832: 0 +#5833: 0 +#5834: 0 +#5835: 0 +#5836: 0 +#5837: 0 +#5838: 0 +#5839: 0 +#5840: 0 +#5841: 0 +#5842: 0 +#5843: 0 +#5844: 0 +#5845: 0 +#5846: 0 +#5847: 0 +#5848: 0 +#5849: 0 +#5850: 0 +#5851: 0 +#5852: 0 +#5853: 0 +#5854: 0 +#5855: 0 +#5856: 0 +#5857: 0 +#5858: 0 +#5859: 0 +#5860: 0 +#5861: 0 +#5862: 0 +#5863: 0 +#5864: 0 +#5865: 0 +#5866: 0 +#5867: 0 +#5868: 0 +#5869: 0 +#5870: 0 +#5871: 0 +#5872: 0 +#5873: 0 +#5874: 0 +#5875: 0 +#5876: 0 +#5877: 0 +#5878: 0 +#5879: 0 +#5880: 0 +#5881: 0 +#5882: 0 +#5883: 0 +#5884: 0 +#5885: 0 +#5886: 0 +#5887: 0 +#5888: 0 +#5889: 0 +#5890: 0 +#5891: 0 +#5892: 0 +#5893: 0 +#5894: 0 +#5895: 0 +#5896: 0 +#5897: 0 +#5898: 0 +#5899: 0 +#5900: 0 +#5901: 0 +#5902: 0 +#5903: 0 +#5904: 0 +#5905: 0 +#5906: 0 +#5907: 0 +#5908: 0 +#5909: 0 +#5910: 0 +#5911: 0 +#5912: 0 +#5913: 0 +#5914: 0 +#5915: 0 +#5916: 0 +#5917: 0 +#5918: 0 +#5919: 0 +#5920: 0 +#5921: 0 +#5922: 0 +#5923: 0 +#5924: 0 +#5925: 0 +#5926: 0 +#5927: 0 +#5928: 0 +#5929: 0 +#5930: 0 +#5931: 0 +#5932: 0 +#5933: 0 +#5934: 0 +#5935: 0 +#5936: 0 +#5937: 0 +#5938: 0 +#5939: 0 +#5940: 0 +#5941: 0 +#5942: 0 +#5943: 0 +#5944: 0 +#5945: 0 +#5946: 0 +#5947: 0 +#5948: 0 +#5949: 0 +#5950: 0 +#5951: 0 +#5952: 0 +#5953: 0 +#5954: 0 +#5955: 0 +#5956: 0 +#5957: 0 +#5958: 0 +#5959: 0 +#5960: 0 +#5961: 0 +#5962: 0 +#5963: 0 +#5964: 0 +#5965: 0 +#5966: 0 +#5967: 0 +#5968: 0 +#5969: 0 +#5970: 0 +#5971: 0 +#5972: 0 +#5973: 0 +#5974: 0 +#5975: 0 +#5976: 0 +#5977: 0 +#5978: 0 +#5979: 0 +#5980: 0 +#5981: 0 +#5982: 0 +#5983: 0 +#5984: 0 +#5985: 0 +#5986: 0 +#5987: 0 +#5988: 0 +#5989: 0 +#5990: 0 +#5991: 0 +#5992: 0 +#5993: 0 +#5994: 0 +#5995: 0 +#5996: 0 +#5997: 0 +#5998: 0 +#5999: 0 +#6000: 0 +#6001: 0 +#6002: 0 +#6003: 0 +#6004: 0 +#6005: 0 +#6006: 0 +#6007: 0 +#6008: 0 +#6009: 0 +#6010: 0 +#6011: 0 +#6012: 0 +#6013: 0 +#6014: 0 +#6015: 0 +#6016: 0 +#6017: 0 +#6018: 0 +#6019: 0 +#6020: 0 +#6021: 0 +#6022: 0 +#6023: 0 +#6024: 0 +#6025: 0 +#6026: 0 +#6027: 0 +#6028: 0 +#6029: 0 +#6030: 0 +#6031: 0 +#6032: 0 +#6033: 0 +#6034: 0 +#6035: 0 +#6036: 0 +#6037: 0 +#6038: 0 +#6039: 0 +#6040: 0 +#6041: 0 +#6042: 0 +#6043: 0 +#6044: 0 +#6045: 0 +#6046: 0 +#6047: 0 +#6048: 0 +#6049: 0 +#6050: 0 +#6051: 0 +#6052: 0 +#6053: 0 +#6054: 0 +#6055: 0 +#6056: 0 +#6057: 1 +#6058: 1 +#6059: 1 +#6060: 1 +#6061: 1 +#6062: 1 +#6063: 1 +#6064: 1 +#6065: 1 +#6066: 1 +#6067: 1 +#6068: 1 +#6069: 1 +#6070: 1 +#6071: 1 +#6072: 1 +#6073: 1 +#6074: 1 +#6075: 1 +#6076: 1 +#6077: 1 +#6078: 1 +#6079: 1 +#6080: 1 +#6081: 1 +#6082: 1 +#6083: 1 +#6084: 1 +#6085: 1 +#6086: 1 +#6087: 1 +#6088: 1 +#6089: 1 +#6090: 1 +#6091: 1 +#6092: 1 +#6093: 1 +#6094: 1 +#6095: 1 +#6096: 1 +#6097: 1 +#6098: 1 +#6099: 1 +#6100: 1 +#6101: 1 +#6102: 1 +#6103: 1 +#6104: 1 +#6105: 1 +#6106: 1 +#6107: 1 +#6108: 1 +#6109: 1 +#6110: 1 +#6111: 1 +#6112: 1 +#6113: 1 +#6114: 1 +#6115: 1 +#6116: 1 +#6117: 1 +#6118: 1 +#6119: 1 +#6120: 1 +#6121: 1 +#6122: 1 +#6123: 1 +#6124: 1 +#6125: 1 +#6126: 1 +#6127: 1 +#6128: 1 +#6129: 1 +#6130: 1 +#6131: 1 +#6132: 1 +#6133: 1 +#6134: 1 +#6135: 1 +#6136: 1 +#6137: 1 +#6138: 1 +#6139: 1 +#6140: 1 +#6141: 1 +#6142: 1 +#6143: 1 +#6144: 1 +#6145: 1 +#6146: 1 +#6147: 1 +#6148: 1 +#6149: 1 +#6150: 1 +#6151: 1 +#6152: 1 +#6153: 1 +#6154: 1 +#6155: 1 +#6156: 1 +#6157: 1 +#6158: 1 +#6159: 1 +#6160: 1 +#6161: 1 +#6162: 1 +#6163: 1 +#6164: 1 +#6165: 1 +#6166: 1 +#6167: 1 +#6168: 1 +#6169: 1 +#6170: 1 +#6171: 1 +#6172: 1 +#6173: 1 +#6174: 1 +#6175: 1 +#6176: 1 +#6177: 1 +#6178: 1 +#6179: 1 +#6180: 1 +#6181: 1 +#6182: 1 +#6183: 1 +#6184: 1 +#6185: 1 +#6186: 1 +#6187: 1 +#6188: 1 +#6189: 1 +#6190: 1 +#6191: 1 +#6192: 1 +#6193: 1 +#6194: 1 +#6195: 1 +#6196: 1 +#6197: 1 +#6198: 1 +#6199: 1 +#6200: 1 +#6201: 1 +#6202: 1 +#6203: 1 +#6204: 1 +#6205: 1 +#6206: 1 +#6207: 1 +#6208: 1 +#6209: 1 +#6210: 1 +#6211: 1 +#6212: 1 +#6213: 1 +#6214: 1 +#6215: 1 +#6216: 1 +#6217: 1 +#6218: 1 +#6219: 1 +#6220: 1 +#6221: 1 +#6222: 1 +#6223: 1 +#6224: 1 +#6225: 1 +#6226: 1 +#6227: 1 +#6228: 1 +#6229: 1 +#6230: 1 +#6231: 1 +#6232: 1 +#6233: 1 +#6234: 1 +#6235: 1 +#6236: 1 +#6237: 1 +#6238: 1 +#6239: 1 +#6240: 1 +#6241: 1 +#6242: 1 +#6243: 1 +#6244: 1 +#6245: 1 +#6246: 1 +#6247: 1 +#6248: 1 +#6249: 1 +#6250: 1 +#6251: 1 +#6252: 1 +#6253: 1 +#6254: 1 +#6255: 1 +#6256: 1 +#6257: 1 +#6258: 1 +#6259: 1 +#6260: 1 +#6261: 1 +#6262: 1 +#6263: 1 +#6264: 1 +#6265: 1 +#6266: 1 +#6267: 1 +#6268: 1 +#6269: 1 +#6270: 1 +#6271: 1 +#6272: 1 +#6273: 1 +#6274: 1 +#6275: 1 +#6276: 1 +#6277: 1 +#6278: 1 +#6279: 1 +#6280: 1 +#6281: 1 +#6282: 1 +#6283: 1 +#6284: 1 +#6285: 1 +#6286: 1 +#6287: 1 +#6288: 1 +#6289: 1 +#6290: 1 +#6291: 1 +#6292: 1 +#6293: 1 +#6294: 1 +#6295: 1 +#6296: 1 +#6297: 1 +#6298: 1 +#6299: 1 +#6300: 1 +#6301: 1 +#6302: 1 +#6303: 1 +#6304: 1 +#6305: 1 +#6306: 1 +#6307: 1 +#6308: 1 +#6309: 1 +#6310: 1 +#6311: 1 +#6312: 1 +#6313: false (0) +#6314: false (0) +#6315: false (0) +#6316: false (0) +#6317: false (0) +#6318: false (0) +#6319: false (0) +#6320: false (0) +#6321: false (0) +#6322: false (0) +#6323: false (0) +#6324: false (0) +#6325: false (0) +#6326: false (0) +#6327: false (0) +#6328: false (0) +#6329: note (0) +#6330: note (0) +#6331: note (0) +#6332: note (0) +#6333: note (0) +#6334: note (0) +#6335: note (0) +#6336: note (0) +#6337: note (0) +#6338: note (0) +#6339: note (0) +#6340: note (0) +#6341: note (0) +#6342: note (0) +#6343: note (0) +#6344: note (0) +#6345: -2147483648 +#6346: -2147483648 +#6347: -2147483648 +#6348: -2147483648 +#6349: -2147483648 +#6350: -2147483648 +#6351: -2147483648 +#6352: -2147483648 +#6353: -2147483648 +#6354: -2147483648 +#6355: -2147483648 +#6356: -2147483648 +#6357: -2147483648 +#6358: -2147483648 +#6359: -2147483648 +#6360: -2147483648 +#6361: -1.79769e+308 +#6362: -1.79769e+308 +#6363: -1.79769e+308 +#6364: -1.79769e+308 +#6365: -1.79769e+308 +#6366: -1.79769e+308 +#6367: -1.79769e+308 +#6368: -1.79769e+308 +#6369: -1.79769e+308 +#6370: -1.79769e+308 +#6371: -1.79769e+308 +#6372: -1.79769e+308 +#6373: -1.79769e+308 +#6374: -1.79769e+308 +#6375: -1.79769e+308 +#6376: -1.79769e+308 +#6377: -9223372036854775808 +#6378: -9223372036854775808 +#6379: -9223372036854775808 +#6380: -9223372036854775808 +#6381: -9223372036854775808 +#6382: -9223372036854775808 +#6383: -9223372036854775808 +#6384: -9223372036854775808 +#6385: -9223372036854775808 +#6386: -9223372036854775808 +#6387: -9223372036854775808 +#6388: -9223372036854775808 +#6389: -9223372036854775808 +#6390: -9223372036854775808 +#6391: -9223372036854775808 +#6392: -9223372036854775808 +#6393: 0 +#6394: 0 +#6395: 0 +#6396: 0 +#6397: 0 +#6398: 0 +#6399: 0 +#6400: 0 +#6401: 0 +#6402: 0 +#6403: 0 +#6404: 0 +#6405: 0 +#6406: 0 +#6407: 0 +#6408: 0 +#6409: 1 +#6410: 1 +#6411: 1 +#6412: 1 +#6413: 1 +#6414: 1 +#6415: 1 +#6416: 1 +#6417: 1 +#6418: 1 +#6419: 1 +#6420: 1 +#6421: 1 +#6422: 1 +#6423: 1 +#6424: 1 +#6425: false (0) +#6426: false (0) +#6427: false (0) +#6428: false (0) +#6429: false (0) +#6430: false (0) +#6431: false (0) +#6432: false (0) +#6433: false (0) +#6434: false (0) +#6435: false (0) +#6436: false (0) +#6437: false (0) +#6438: false (0) +#6439: false (0) +#6440: false (0) +#6441: note (0) +#6442: note (0) +#6443: note (0) +#6444: note (0) +#6445: note (0) +#6446: note (0) +#6447: note (0) +#6448: note (0) +#6449: note (0) +#6450: note (0) +#6451: note (0) +#6452: note (0) +#6453: note (0) +#6454: note (0) +#6455: note (0) +#6456: note (0) +#6457: -2147483648 +#6458: -2147483648 +#6459: -2147483648 +#6460: -2147483648 +#6461: -2147483648 +#6462: -2147483648 +#6463: -2147483648 +#6464: -2147483648 +#6465: -2147483648 +#6466: -2147483648 +#6467: -2147483648 +#6468: -2147483648 +#6469: -2147483648 +#6470: -2147483648 +#6471: -2147483648 +#6472: -2147483648 +#6473: -1.79769e+308 +#6474: -1.79769e+308 +#6475: -1.79769e+308 +#6476: -1.79769e+308 +#6477: -1.79769e+308 +#6478: -1.79769e+308 +#6479: -1.79769e+308 +#6480: -1.79769e+308 +#6481: -1.79769e+308 +#6482: -1.79769e+308 +#6483: -1.79769e+308 +#6484: -1.79769e+308 +#6485: -1.79769e+308 +#6486: -1.79769e+308 +#6487: -1.79769e+308 +#6488: -1.79769e+308 +#6489: -9223372036854775808 +#6490: -9223372036854775808 +#6491: -9223372036854775808 +#6492: -9223372036854775808 +#6493: -9223372036854775808 +#6494: -9223372036854775808 +#6495: -9223372036854775808 +#6496: -9223372036854775808 +#6497: -9223372036854775808 +#6498: -9223372036854775808 +#6499: -9223372036854775808 +#6500: -9223372036854775808 +#6501: -9223372036854775808 +#6502: -9223372036854775808 +#6503: -9223372036854775808 +#6504: -9223372036854775808 +#6505: 0 +#6506: 0 +#6507: 0 +#6508: 0 +#6509: 0 +#6510: 0 +#6511: 0 +#6512: 0 +#6513: 0 +#6514: 0 +#6515: 0 +#6516: 0 +#6517: 0 +#6518: 0 +#6519: 0 +#6520: 0 +#6521: 1 +#6522: 1 +#6523: 1 +#6524: 1 +#6525: 1 +#6526: 1 +#6527: 1 +#6528: 1 +#6529: 1 +#6530: 1 +#6531: 1 +#6532: 1 +#6533: 1 +#6534: 1 +#6535: 1 +#6536: 1 +#6537: false (0) +#6538: false (0) +#6539: false (0) +#6540: false (0) +#6541: false (0) +#6542: false (0) +#6543: false (0) +#6544: false (0) +#6545: false (0) +#6546: false (0) +#6547: false (0) +#6548: false (0) +#6549: false (0) +#6550: false (0) +#6551: false (0) +#6552: false (0) +#6553: note (0) +#6554: note (0) +#6555: note (0) +#6556: note (0) +#6557: note (0) +#6558: note (0) +#6559: note (0) +#6560: note (0) +#6561: note (0) +#6562: note (0) +#6563: note (0) +#6564: note (0) +#6565: note (0) +#6566: note (0) +#6567: note (0) +#6568: note (0) +#6569: -2147483648 +#6570: -2147483648 +#6571: -2147483648 +#6572: -2147483648 +#6573: -2147483648 +#6574: -2147483648 +#6575: -2147483648 +#6576: -2147483648 +#6577: -2147483648 +#6578: -2147483648 +#6579: -2147483648 +#6580: -2147483648 +#6581: -2147483648 +#6582: -2147483648 +#6583: -2147483648 +#6584: -2147483648 +#6585: -1.79769e+308 +#6586: -1.79769e+308 +#6587: -1.79769e+308 +#6588: -1.79769e+308 +#6589: -1.79769e+308 +#6590: -1.79769e+308 +#6591: -1.79769e+308 +#6592: -1.79769e+308 +#6593: -1.79769e+308 +#6594: -1.79769e+308 +#6595: -1.79769e+308 +#6596: -1.79769e+308 +#6597: -1.79769e+308 +#6598: -1.79769e+308 +#6599: -1.79769e+308 +#6600: -1.79769e+308 +#6601: -9223372036854775808 +#6602: -9223372036854775808 +#6603: -9223372036854775808 +#6604: -9223372036854775808 +#6605: -9223372036854775808 +#6606: -9223372036854775808 +#6607: -9223372036854775808 +#6608: -9223372036854775808 +#6609: -9223372036854775808 +#6610: -9223372036854775808 +#6611: -9223372036854775808 +#6612: -9223372036854775808 +#6613: -9223372036854775808 +#6614: -9223372036854775808 +#6615: -9223372036854775808 +#6616: -9223372036854775808 +#6617: 0 +#6618: 0 +#6619: 0 +#6620: 0 +#6621: 0 +#6622: 0 +#6623: 0 +#6624: 0 +#6625: 0 +#6626: 0 +#6627: 0 +#6628: 0 +#6629: 0 +#6630: 0 +#6631: 0 +#6632: 0 +#6633: 1 +#6634: 1 +#6635: 1 +#6636: 1 +#6637: 1 +#6638: 1 +#6639: 1 +#6640: 1 +#6641: 1 +#6642: 1 +#6643: 1 +#6644: 1 +#6645: 1 +#6646: 1 +#6647: 1 +#6648: 1 +#6649: false (0) +#6650: false (0) +#6651: false (0) +#6652: false (0) +#6653: false (0) +#6654: false (0) +#6655: false (0) +#6656: false (0) +#6657: false (0) +#6658: false (0) +#6659: false (0) +#6660: false (0) +#6661: false (0) +#6662: false (0) +#6663: false (0) +#6664: false (0) +#6665: note (0) +#6666: note (0) +#6667: note (0) +#6668: note (0) +#6669: note (0) +#6670: note (0) +#6671: note (0) +#6672: note (0) +#6673: note (0) +#6674: note (0) +#6675: note (0) +#6676: note (0) +#6677: note (0) +#6678: note (0) +#6679: note (0) +#6680: note (0) +#6681: -2147483648 +#6682: -2147483648 +#6683: -2147483648 +#6684: -2147483648 +#6685: -2147483648 +#6686: -2147483648 +#6687: -2147483648 +#6688: -2147483648 +#6689: -2147483648 +#6690: -2147483648 +#6691: -2147483648 +#6692: -2147483648 +#6693: -2147483648 +#6694: -2147483648 +#6695: -2147483648 +#6696: -2147483648 +#6697: -1.79769e+308 +#6698: -1.79769e+308 +#6699: -1.79769e+308 +#6700: -1.79769e+308 +#6701: -1.79769e+308 +#6702: -1.79769e+308 +#6703: -1.79769e+308 +#6704: -1.79769e+308 +#6705: -1.79769e+308 +#6706: -1.79769e+308 +#6707: -1.79769e+308 +#6708: -1.79769e+308 +#6709: -1.79769e+308 +#6710: -1.79769e+308 +#6711: -1.79769e+308 +#6712: -1.79769e+308 +#6713: -9223372036854775808 +#6714: -9223372036854775808 +#6715: -9223372036854775808 +#6716: -9223372036854775808 +#6717: -9223372036854775808 +#6718: -9223372036854775808 +#6719: -9223372036854775808 +#6720: -9223372036854775808 +#6721: -9223372036854775808 +#6722: -9223372036854775808 +#6723: -9223372036854775808 +#6724: -9223372036854775808 +#6725: -9223372036854775808 +#6726: -9223372036854775808 +#6727: -9223372036854775808 +#6728: -9223372036854775808 +#6729: 0 +#6730: 0 +#6731: 0 +#6732: 0 +#6733: 0 +#6734: 0 +#6735: 0 +#6736: 0 +#6737: 0 +#6738: 0 +#6739: 0 +#6740: 0 +#6741: 0 +#6742: 0 +#6743: 0 +#6744: 0 +#6745: 1 +#6746: 1 +#6747: 1 +#6748: 1 +#6749: 1 +#6750: 1 +#6751: 1 +#6752: 1 +#6753: 1 +#6754: 1 +#6755: 1 +#6756: 1 +#6757: 1 +#6758: 1 +#6759: 1 +#6760: 1 +#6761: false (0) +#6762: false (0) +#6763: false (0) +#6764: false (0) +#6765: false (0) +#6766: false (0) +#6767: false (0) +#6768: false (0) +#6769: false (0) +#6770: false (0) +#6771: false (0) +#6772: false (0) +#6773: false (0) +#6774: false (0) +#6775: false (0) +#6776: false (0) +#6777: note (0) +#6778: note (0) +#6779: note (0) +#6780: note (0) +#6781: note (0) +#6782: note (0) +#6783: note (0) +#6784: note (0) +#6785: note (0) +#6786: note (0) +#6787: note (0) +#6788: note (0) +#6789: note (0) +#6790: note (0) +#6791: note (0) +#6792: note (0) +#6793: -2147483648 +#6794: -2147483648 +#6795: -2147483648 +#6796: -2147483648 +#6797: -2147483648 +#6798: -2147483648 +#6799: -2147483648 +#6800: -2147483648 +#6801: -2147483648 +#6802: -2147483648 +#6803: -2147483648 +#6804: -2147483648 +#6805: -2147483648 +#6806: -2147483648 +#6807: -2147483648 +#6808: -2147483648 +#6809: -1.79769e+308 +#6810: -1.79769e+308 +#6811: -1.79769e+308 +#6812: -1.79769e+308 +#6813: -1.79769e+308 +#6814: -1.79769e+308 +#6815: -1.79769e+308 +#6816: -1.79769e+308 +#6817: -1.79769e+308 +#6818: -1.79769e+308 +#6819: -1.79769e+308 +#6820: -1.79769e+308 +#6821: -1.79769e+308 +#6822: -1.79769e+308 +#6823: -1.79769e+308 +#6824: -1.79769e+308 +#6825: -9223372036854775808 +#6826: -9223372036854775808 +#6827: -9223372036854775808 +#6828: -9223372036854775808 +#6829: -9223372036854775808 +#6830: -9223372036854775808 +#6831: -9223372036854775808 +#6832: -9223372036854775808 +#6833: -9223372036854775808 +#6834: -9223372036854775808 +#6835: -9223372036854775808 +#6836: -9223372036854775808 +#6837: -9223372036854775808 +#6838: -9223372036854775808 +#6839: -9223372036854775808 +#6840: -9223372036854775808 +#6841: 0 +#6842: 0 +#6843: 0 +#6844: 0 +#6845: 0 +#6846: 0 +#6847: 0 +#6848: 0 +#6849: 0 +#6850: 0 +#6851: 0 +#6852: 0 +#6853: 0 +#6854: 0 +#6855: 0 +#6856: 0 +#6857: 1 +#6858: 1 +#6859: 1 +#6860: 1 +#6861: 1 +#6862: 1 +#6863: 1 +#6864: 1 +#6865: 1 +#6866: 1 +#6867: 1 +#6868: 1 +#6869: 1 +#6870: 1 +#6871: 1 +#6872: 1 +#6873: false (0) +#6874: false (0) +#6875: false (0) +#6876: false (0) +#6877: false (0) +#6878: false (0) +#6879: false (0) +#6880: false (0) +#6881: false (0) +#6882: false (0) +#6883: false (0) +#6884: false (0) +#6885: false (0) +#6886: false (0) +#6887: false (0) +#6888: false (0) +#6889: note (0) +#6890: note (0) +#6891: note (0) +#6892: note (0) +#6893: note (0) +#6894: note (0) +#6895: note (0) +#6896: note (0) +#6897: note (0) +#6898: note (0) +#6899: note (0) +#6900: note (0) +#6901: note (0) +#6902: note (0) +#6903: note (0) +#6904: note (0) +#6905: -2147483648 +#6906: -2147483648 +#6907: -2147483648 +#6908: -2147483648 +#6909: -2147483648 +#6910: -2147483648 +#6911: -2147483648 +#6912: -2147483648 +#6913: -2147483648 +#6914: -2147483648 +#6915: -2147483648 +#6916: -2147483648 +#6917: -2147483648 +#6918: -2147483648 +#6919: -2147483648 +#6920: -2147483648 +#6921: -1.79769e+308 +#6922: -1.79769e+308 +#6923: -1.79769e+308 +#6924: -1.79769e+308 +#6925: -1.79769e+308 +#6926: -1.79769e+308 +#6927: -1.79769e+308 +#6928: -1.79769e+308 +#6929: -1.79769e+308 +#6930: -1.79769e+308 +#6931: -1.79769e+308 +#6932: -1.79769e+308 +#6933: -1.79769e+308 +#6934: -1.79769e+308 +#6935: -1.79769e+308 +#6936: -1.79769e+308 +#6937: -9223372036854775808 +#6938: -9223372036854775808 +#6939: -9223372036854775808 +#6940: -9223372036854775808 +#6941: -9223372036854775808 +#6942: -9223372036854775808 +#6943: -9223372036854775808 +#6944: -9223372036854775808 +#6945: -9223372036854775808 +#6946: -9223372036854775808 +#6947: -9223372036854775808 +#6948: -9223372036854775808 +#6949: -9223372036854775808 +#6950: -9223372036854775808 +#6951: -9223372036854775808 +#6952: -9223372036854775808 +#6953: 0 +#6954: 0 +#6955: 0 +#6956: 0 +#6957: 0 +#6958: 0 +#6959: 0 +#6960: 0 +#6961: 0 +#6962: 0 +#6963: 0 +#6964: 0 +#6965: 0 +#6966: 0 +#6967: 0 +#6968: 0 +#6969: 1 +#6970: 1 +#6971: 1 +#6972: 1 +#6973: 1 +#6974: 1 +#6975: 1 +#6976: 1 +#6977: 1 +#6978: 1 +#6979: 1 +#6980: 1 +#6981: 1 +#6982: 1 +#6983: 1 +#6984: 1 +#6985: false (0) +#6986: false (0) +#6987: false (0) +#6988: false (0) +#6989: false (0) +#6990: false (0) +#6991: false (0) +#6992: false (0) +#6993: false (0) +#6994: false (0) +#6995: false (0) +#6996: false (0) +#6997: false (0) +#6998: false (0) +#6999: false (0) +#7000: false (0) +#7001: note (0) +#7002: note (0) +#7003: note (0) +#7004: note (0) +#7005: note (0) +#7006: note (0) +#7007: note (0) +#7008: note (0) +#7009: note (0) +#7010: note (0) +#7011: note (0) +#7012: note (0) +#7013: note (0) +#7014: note (0) +#7015: note (0) +#7016: note (0) +#7017: -2147483648 +#7018: -2147483648 +#7019: -2147483648 +#7020: -2147483648 +#7021: -2147483648 +#7022: -2147483648 +#7023: -2147483648 +#7024: -2147483648 +#7025: -2147483648 +#7026: -2147483648 +#7027: -2147483648 +#7028: -2147483648 +#7029: -2147483648 +#7030: -2147483648 +#7031: -2147483648 +#7032: -2147483648 +#7033: -1.79769e+308 +#7034: -1.79769e+308 +#7035: -1.79769e+308 +#7036: -1.79769e+308 +#7037: -1.79769e+308 +#7038: -1.79769e+308 +#7039: -1.79769e+308 +#7040: -1.79769e+308 +#7041: -1.79769e+308 +#7042: -1.79769e+308 +#7043: -1.79769e+308 +#7044: -1.79769e+308 +#7045: -1.79769e+308 +#7046: -1.79769e+308 +#7047: -1.79769e+308 +#7048: -1.79769e+308 +#7049: -9223372036854775808 +#7050: -9223372036854775808 +#7051: -9223372036854775808 +#7052: -9223372036854775808 +#7053: -9223372036854775808 +#7054: -9223372036854775808 +#7055: -9223372036854775808 +#7056: -9223372036854775808 +#7057: -9223372036854775808 +#7058: -9223372036854775808 +#7059: -9223372036854775808 +#7060: -9223372036854775808 +#7061: -9223372036854775808 +#7062: -9223372036854775808 +#7063: -9223372036854775808 +#7064: -9223372036854775808 +#7065: 0 +#7066: 0 +#7067: 0 +#7068: 0 +#7069: 0 +#7070: 0 +#7071: 0 +#7072: 0 +#7073: 0 +#7074: 0 +#7075: 0 +#7076: 0 +#7077: 0 +#7078: 0 +#7079: 0 +#7080: 0 +#7081: 1 +#7082: 1 +#7083: 1 +#7084: 1 +#7085: 1 +#7086: 1 +#7087: 1 +#7088: 1 +#7089: 1 +#7090: 1 +#7091: 1 +#7092: 1 +#7093: 1 +#7094: 1 +#7095: 1 +#7096: 1 +#7097: false (0) +#7098: false (0) +#7099: false (0) +#7100: false (0) +#7101: false (0) +#7102: false (0) +#7103: false (0) +#7104: false (0) +#7105: false (0) +#7106: false (0) +#7107: false (0) +#7108: false (0) +#7109: false (0) +#7110: false (0) +#7111: false (0) +#7112: false (0) +#7113: note (0) +#7114: note (0) +#7115: note (0) +#7116: note (0) +#7117: note (0) +#7118: note (0) +#7119: note (0) +#7120: note (0) +#7121: note (0) +#7122: note (0) +#7123: note (0) +#7124: note (0) +#7125: note (0) +#7126: note (0) +#7127: note (0) +#7128: note (0) +#7129: -2147483648 +#7130: -2147483648 +#7131: -2147483648 +#7132: -2147483648 +#7133: -2147483648 +#7134: -2147483648 +#7135: -2147483648 +#7136: -2147483648 +#7137: -2147483648 +#7138: -2147483648 +#7139: -2147483648 +#7140: -2147483648 +#7141: -2147483648 +#7142: -2147483648 +#7143: -2147483648 +#7144: -2147483648 +#7145: -1.79769e+308 +#7146: -1.79769e+308 +#7147: -1.79769e+308 +#7148: -1.79769e+308 +#7149: -1.79769e+308 +#7150: -1.79769e+308 +#7151: -1.79769e+308 +#7152: -1.79769e+308 +#7153: -1.79769e+308 +#7154: -1.79769e+308 +#7155: -1.79769e+308 +#7156: -1.79769e+308 +#7157: -1.79769e+308 +#7158: -1.79769e+308 +#7159: -1.79769e+308 +#7160: -1.79769e+308 +#7161: -9223372036854775808 +#7162: -9223372036854775808 +#7163: -9223372036854775808 +#7164: -9223372036854775808 +#7165: -9223372036854775808 +#7166: -9223372036854775808 +#7167: -9223372036854775808 +#7168: -9223372036854775808 +#7169: -9223372036854775808 +#7170: -9223372036854775808 +#7171: -9223372036854775808 +#7172: -9223372036854775808 +#7173: -9223372036854775808 +#7174: -9223372036854775808 +#7175: -9223372036854775808 +#7176: -9223372036854775808 +#7177: 0 +#7178: 0 +#7179: 0 +#7180: 0 +#7181: 0 +#7182: 0 +#7183: 0 +#7184: 0 +#7185: 0 +#7186: 0 +#7187: 0 +#7188: 0 +#7189: 0 +#7190: 0 +#7191: 0 +#7192: 0 +#7193: 1 +#7194: 1 +#7195: 1 +#7196: 1 +#7197: 1 +#7198: 1 +#7199: 1 +#7200: 1 +#7201: 1 +#7202: 1 +#7203: 1 +#7204: 1 +#7205: 1 +#7206: 1 +#7207: 1 +#7208: 1 +#7209: false (0) +#7210: false (0) +#7211: false (0) +#7212: false (0) +#7213: false (0) +#7214: false (0) +#7215: false (0) +#7216: false (0) +#7217: false (0) +#7218: false (0) +#7219: false (0) +#7220: false (0) +#7221: false (0) +#7222: false (0) +#7223: false (0) +#7224: false (0) +#7225: note (0) +#7226: note (0) +#7227: note (0) +#7228: note (0) +#7229: note (0) +#7230: note (0) +#7231: note (0) +#7232: note (0) +#7233: note (0) +#7234: note (0) +#7235: note (0) +#7236: note (0) +#7237: note (0) +#7238: note (0) +#7239: note (0) +#7240: note (0) +#7241: -2147483648 +#7242: -2147483648 +#7243: -2147483648 +#7244: -2147483648 +#7245: -2147483648 +#7246: -2147483648 +#7247: -2147483648 +#7248: -2147483648 +#7249: -2147483648 +#7250: -2147483648 +#7251: -2147483648 +#7252: -2147483648 +#7253: -2147483648 +#7254: -2147483648 +#7255: -2147483648 +#7256: -2147483648 +#7257: -1.79769e+308 +#7258: -1.79769e+308 +#7259: -1.79769e+308 +#7260: -1.79769e+308 +#7261: -1.79769e+308 +#7262: -1.79769e+308 +#7263: -1.79769e+308 +#7264: -1.79769e+308 +#7265: -1.79769e+308 +#7266: -1.79769e+308 +#7267: -1.79769e+308 +#7268: -1.79769e+308 +#7269: -1.79769e+308 +#7270: -1.79769e+308 +#7271: -1.79769e+308 +#7272: -1.79769e+308 +#7273: -9223372036854775808 +#7274: -9223372036854775808 +#7275: -9223372036854775808 +#7276: -9223372036854775808 +#7277: -9223372036854775808 +#7278: -9223372036854775808 +#7279: -9223372036854775808 +#7280: -9223372036854775808 +#7281: -9223372036854775808 +#7282: -9223372036854775808 +#7283: -9223372036854775808 +#7284: -9223372036854775808 +#7285: -9223372036854775808 +#7286: -9223372036854775808 +#7287: -9223372036854775808 +#7288: -9223372036854775808 +#7289: 0 +#7290: 0 +#7291: 0 +#7292: 0 +#7293: 0 +#7294: 0 +#7295: 0 +#7296: 0 +#7297: 0 +#7298: 0 +#7299: 0 +#7300: 0 +#7301: 0 +#7302: 0 +#7303: 0 +#7304: 0 +#7305: 1 +#7306: 1 +#7307: 1 +#7308: 1 +#7309: 1 +#7310: 1 +#7311: 1 +#7312: 1 +#7313: 1 +#7314: 1 +#7315: 1 +#7316: 1 +#7317: 1 +#7318: 1 +#7319: 1 +#7320: 1 +#7321: false (0) +#7322: false (0) +#7323: false (0) +#7324: false (0) +#7325: false (0) +#7326: false (0) +#7327: false (0) +#7328: false (0) +#7329: false (0) +#7330: false (0) +#7331: false (0) +#7332: false (0) +#7333: false (0) +#7334: false (0) +#7335: false (0) +#7336: false (0) +#7337: note (0) +#7338: note (0) +#7339: note (0) +#7340: note (0) +#7341: note (0) +#7342: note (0) +#7343: note (0) +#7344: note (0) +#7345: note (0) +#7346: note (0) +#7347: note (0) +#7348: note (0) +#7349: note (0) +#7350: note (0) +#7351: note (0) +#7352: note (0) +#7353: -2147483648 +#7354: -2147483648 +#7355: -2147483648 +#7356: -2147483648 +#7357: -2147483648 +#7358: -2147483648 +#7359: -2147483648 +#7360: -2147483648 +#7361: -2147483648 +#7362: -2147483648 +#7363: -2147483648 +#7364: -2147483648 +#7365: -2147483648 +#7366: -2147483648 +#7367: -2147483648 +#7368: -2147483648 +#7369: -1.79769e+308 +#7370: -1.79769e+308 +#7371: -1.79769e+308 +#7372: -1.79769e+308 +#7373: -1.79769e+308 +#7374: -1.79769e+308 +#7375: -1.79769e+308 +#7376: -1.79769e+308 +#7377: -1.79769e+308 +#7378: -1.79769e+308 +#7379: -1.79769e+308 +#7380: -1.79769e+308 +#7381: -1.79769e+308 +#7382: -1.79769e+308 +#7383: -1.79769e+308 +#7384: -1.79769e+308 +#7385: -9223372036854775808 +#7386: -9223372036854775808 +#7387: -9223372036854775808 +#7388: -9223372036854775808 +#7389: -9223372036854775808 +#7390: -9223372036854775808 +#7391: -9223372036854775808 +#7392: -9223372036854775808 +#7393: -9223372036854775808 +#7394: -9223372036854775808 +#7395: -9223372036854775808 +#7396: -9223372036854775808 +#7397: -9223372036854775808 +#7398: -9223372036854775808 +#7399: -9223372036854775808 +#7400: -9223372036854775808 +#7401: 0 +#7402: 0 +#7403: 0 +#7404: 0 +#7405: 0 +#7406: 0 +#7407: 0 +#7408: 0 +#7409: 0 +#7410: 0 +#7411: 0 +#7412: 0 +#7413: 0 +#7414: 0 +#7415: 0 +#7416: 0 +#7417: 1 +#7418: 1 +#7419: 1 +#7420: 1 +#7421: 1 +#7422: 1 +#7423: 1 +#7424: 1 +#7425: 1 +#7426: 1 +#7427: 1 +#7428: 1 +#7429: 1 +#7430: 1 +#7431: 1 +#7432: 1 +Time is 0 fs +#1: true (1) +#2: true (1) +#3: true (1) +#4: true (1) +#5: true (1) +#6: true (1) +#7: true (1) +#8: true (1) +#9: true (1) +#10: true (1) +#11: true (1) +#12: true (1) +#13: true (1) +#14: true (1) +#15: true (1) +#16: true (1) +#17: note (0) +#18: note (0) +#19: note (0) +#20: note (0) +#21: note (0) +#22: note (0) +#23: note (0) +#24: note (0) +#25: note (0) +#26: note (0) +#27: note (0) +#28: note (0) +#29: note (0) +#30: note (0) +#31: note (0) +#32: note (0) +#33: 3 +#34: 3 +#35: 3 +#36: 3 +#37: 3 +#38: 3 +#39: 3 +#40: 3 +#41: 3 +#42: 3 +#43: 3 +#44: 3 +#45: 3 +#46: 3 +#47: 3 +#48: 3 +#49: 3 +#50: 3 +#51: 3 +#52: 3 +#53: 3 +#54: 3 +#55: 3 +#56: 3 +#57: 3 +#58: 3 +#59: 3 +#60: 3 +#61: 3 +#62: 3 +#63: 3 +#64: 3 +#65: 3000000 +#66: 3000000 +#67: 3000000 +#68: 3000000 +#69: 3000000 +#70: 3000000 +#71: 3000000 +#72: 3000000 +#73: 3000000 +#74: 3000000 +#75: 3000000 +#76: 3000000 +#77: 3000000 +#78: 3000000 +#79: 3000000 +#80: 3000000 +#81: 1 +#82: 1 +#83: 1 +#84: 1 +#85: 1 +#86: 1 +#87: 1 +#88: 1 +#89: 1 +#90: 1 +#91: 1 +#92: 1 +#93: 1 +#94: 1 +#95: 1 +#96: 1 +#97: 1 +#98: 1 +#99: 1 +#100: 1 +#101: 1 +#102: 1 +#103: 1 +#104: 1 +#105: 1 +#106: 1 +#107: 1 +#108: 1 +#109: 1 +#110: 1 +#111: 1 +#112: 1 +#113: true (1) +#114: true (1) +#115: true (1) +#116: true (1) +#117: true (1) +#118: true (1) +#119: true (1) +#120: true (1) +#121: true (1) +#122: true (1) +#123: true (1) +#124: true (1) +#125: true (1) +#126: true (1) +#127: true (1) +#128: true (1) +#129: note (0) +#130: note (0) +#131: note (0) +#132: note (0) +#133: note (0) +#134: note (0) +#135: note (0) +#136: note (0) +#137: note (0) +#138: note (0) +#139: note (0) +#140: note (0) +#141: note (0) +#142: note (0) +#143: note (0) +#144: note (0) +#145: 3 +#146: 3 +#147: 3 +#148: 3 +#149: 3 +#150: 3 +#151: 3 +#152: 3 +#153: 3 +#154: 3 +#155: 3 +#156: 3 +#157: 3 +#158: 3 +#159: 3 +#160: 3 +#161: 3 +#162: 3 +#163: 3 +#164: 3 +#165: 3 +#166: 3 +#167: 3 +#168: 3 +#169: 3 +#170: 3 +#171: 3 +#172: 3 +#173: 3 +#174: 3 +#175: 3 +#176: 3 +#177: 3000000 +#178: 3000000 +#179: 3000000 +#180: 3000000 +#181: 3000000 +#182: 3000000 +#183: 3000000 +#184: 3000000 +#185: 3000000 +#186: 3000000 +#187: 3000000 +#188: 3000000 +#189: 3000000 +#190: 3000000 +#191: 3000000 +#192: 3000000 +#193: 1 +#194: 1 +#195: 1 +#196: 1 +#197: 1 +#198: 1 +#199: 1 +#200: 1 +#201: 1 +#202: 1 +#203: 1 +#204: 1 +#205: 1 +#206: 1 +#207: 1 +#208: 1 +#209: 1 +#210: 1 +#211: 1 +#212: 1 +#213: 1 +#214: 1 +#215: 1 +#216: 1 +#217: 1 +#218: 1 +#219: 1 +#220: 1 +#221: 1 +#222: 1 +#223: 1 +#224: 1 +#225: true (1) +#226: true (1) +#227: true (1) +#228: true (1) +#229: true (1) +#230: true (1) +#231: true (1) +#232: true (1) +#233: true (1) +#234: true (1) +#235: true (1) +#236: true (1) +#237: true (1) +#238: true (1) +#239: true (1) +#240: true (1) +#241: true (1) +#242: true (1) +#243: true (1) +#244: true (1) +#245: true (1) +#246: true (1) +#247: true (1) +#248: true (1) +#249: true (1) +#250: true (1) +#251: true (1) +#252: true (1) +#253: true (1) +#254: true (1) +#255: true (1) +#256: true (1) +#257: true (1) +#258: true (1) +#259: true (1) +#260: true (1) +#261: true (1) +#262: true (1) +#263: true (1) +#264: true (1) +#265: true (1) +#266: true (1) +#267: true (1) +#268: true (1) +#269: true (1) +#270: true (1) +#271: true (1) +#272: true (1) +#273: true (1) +#274: true (1) +#275: true (1) +#276: true (1) +#277: true (1) +#278: true (1) +#279: true (1) +#280: true (1) +#281: true (1) +#282: true (1) +#283: true (1) +#284: true (1) +#285: true (1) +#286: true (1) +#287: true (1) +#288: true (1) +#289: true (1) +#290: true (1) +#291: true (1) +#292: true (1) +#293: true (1) +#294: true (1) +#295: true (1) +#296: true (1) +#297: true (1) +#298: true (1) +#299: true (1) +#300: true (1) +#301: true (1) +#302: true (1) +#303: true (1) +#304: true (1) +#305: true (1) +#306: true (1) +#307: true (1) +#308: true (1) +#309: true (1) +#310: true (1) +#311: true (1) +#312: true (1) +#313: true (1) +#314: true (1) +#315: true (1) +#316: true (1) +#317: true (1) +#318: true (1) +#319: true (1) +#320: true (1) +#321: true (1) +#322: true (1) +#323: true (1) +#324: true (1) +#325: true (1) +#326: true (1) +#327: true (1) +#328: true (1) +#329: true (1) +#330: true (1) +#331: true (1) +#332: true (1) +#333: true (1) +#334: true (1) +#335: true (1) +#336: true (1) +#337: true (1) +#338: true (1) +#339: true (1) +#340: true (1) +#341: true (1) +#342: true (1) +#343: true (1) +#344: true (1) +#345: true (1) +#346: true (1) +#347: true (1) +#348: true (1) +#349: true (1) +#350: true (1) +#351: true (1) +#352: true (1) +#353: true (1) +#354: true (1) +#355: true (1) +#356: true (1) +#357: true (1) +#358: true (1) +#359: true (1) +#360: true (1) +#361: true (1) +#362: true (1) +#363: true (1) +#364: true (1) +#365: true (1) +#366: true (1) +#367: true (1) +#368: true (1) +#369: true (1) +#370: true (1) +#371: true (1) +#372: true (1) +#373: true (1) +#374: true (1) +#375: true (1) +#376: true (1) +#377: true (1) +#378: true (1) +#379: true (1) +#380: true (1) +#381: true (1) +#382: true (1) +#383: true (1) +#384: true (1) +#385: true (1) +#386: true (1) +#387: true (1) +#388: true (1) +#389: true (1) +#390: true (1) +#391: true (1) +#392: true (1) +#393: true (1) +#394: true (1) +#395: true (1) +#396: true (1) +#397: true (1) +#398: true (1) +#399: true (1) +#400: true (1) +#401: true (1) +#402: true (1) +#403: true (1) +#404: true (1) +#405: true (1) +#406: true (1) +#407: true (1) +#408: true (1) +#409: true (1) +#410: true (1) +#411: true (1) +#412: true (1) +#413: true (1) +#414: true (1) +#415: true (1) +#416: true (1) +#417: true (1) +#418: true (1) +#419: true (1) +#420: true (1) +#421: true (1) +#422: true (1) +#423: true (1) +#424: true (1) +#425: true (1) +#426: true (1) +#427: true (1) +#428: true (1) +#429: true (1) +#430: true (1) +#431: true (1) +#432: true (1) +#433: true (1) +#434: true (1) +#435: true (1) +#436: true (1) +#437: true (1) +#438: true (1) +#439: true (1) +#440: true (1) +#441: true (1) +#442: true (1) +#443: true (1) +#444: true (1) +#445: true (1) +#446: true (1) +#447: true (1) +#448: true (1) +#449: true (1) +#450: true (1) +#451: true (1) +#452: true (1) +#453: true (1) +#454: true (1) +#455: true (1) +#456: true (1) +#457: true (1) +#458: true (1) +#459: true (1) +#460: true (1) +#461: true (1) +#462: true (1) +#463: true (1) +#464: true (1) +#465: true (1) +#466: true (1) +#467: true (1) +#468: true (1) +#469: true (1) +#470: true (1) +#471: true (1) +#472: true (1) +#473: true (1) +#474: true (1) +#475: true (1) +#476: true (1) +#477: true (1) +#478: true (1) +#479: true (1) +#480: true (1) +#481: note (0) +#482: note (0) +#483: note (0) +#484: note (0) +#485: note (0) +#486: note (0) +#487: note (0) +#488: note (0) +#489: note (0) +#490: note (0) +#491: note (0) +#492: note (0) +#493: note (0) +#494: note (0) +#495: note (0) +#496: note (0) +#497: note (0) +#498: note (0) +#499: note (0) +#500: note (0) +#501: note (0) +#502: note (0) +#503: note (0) +#504: note (0) +#505: note (0) +#506: note (0) +#507: note (0) +#508: note (0) +#509: note (0) +#510: note (0) +#511: note (0) +#512: note (0) +#513: note (0) +#514: note (0) +#515: note (0) +#516: note (0) +#517: note (0) +#518: note (0) +#519: note (0) +#520: note (0) +#521: note (0) +#522: note (0) +#523: note (0) +#524: note (0) +#525: note (0) +#526: note (0) +#527: note (0) +#528: note (0) +#529: note (0) +#530: note (0) +#531: note (0) +#532: note (0) +#533: note (0) +#534: note (0) +#535: note (0) +#536: note (0) +#537: note (0) +#538: note (0) +#539: note (0) +#540: note (0) +#541: note (0) +#542: note (0) +#543: note (0) +#544: note (0) +#545: note (0) +#546: note (0) +#547: note (0) +#548: note (0) +#549: note (0) +#550: note (0) +#551: note (0) +#552: note (0) +#553: note (0) +#554: note (0) +#555: note (0) +#556: note (0) +#557: note (0) +#558: note (0) +#559: note (0) +#560: note (0) +#561: note (0) +#562: note (0) +#563: note (0) +#564: note (0) +#565: note (0) +#566: note (0) +#567: note (0) +#568: note (0) +#569: note (0) +#570: note (0) +#571: note (0) +#572: note (0) +#573: note (0) +#574: note (0) +#575: note (0) +#576: note (0) +#577: note (0) +#578: note (0) +#579: note (0) +#580: note (0) +#581: note (0) +#582: note (0) +#583: note (0) +#584: note (0) +#585: note (0) +#586: note (0) +#587: note (0) +#588: note (0) +#589: note (0) +#590: note (0) +#591: note (0) +#592: note (0) +#593: note (0) +#594: note (0) +#595: note (0) +#596: note (0) +#597: note (0) +#598: note (0) +#599: note (0) +#600: note (0) +#601: note (0) +#602: note (0) +#603: note (0) +#604: note (0) +#605: note (0) +#606: note (0) +#607: note (0) +#608: note (0) +#609: note (0) +#610: note (0) +#611: note (0) +#612: note (0) +#613: note (0) +#614: note (0) +#615: note (0) +#616: note (0) +#617: note (0) +#618: note (0) +#619: note (0) +#620: note (0) +#621: note (0) +#622: note (0) +#623: note (0) +#624: note (0) +#625: note (0) +#626: note (0) +#627: note (0) +#628: note (0) +#629: note (0) +#630: note (0) +#631: note (0) +#632: note (0) +#633: note (0) +#634: note (0) +#635: note (0) +#636: note (0) +#637: note (0) +#638: note (0) +#639: note (0) +#640: note (0) +#641: note (0) +#642: note (0) +#643: note (0) +#644: note (0) +#645: note (0) +#646: note (0) +#647: note (0) +#648: note (0) +#649: note (0) +#650: note (0) +#651: note (0) +#652: note (0) +#653: note (0) +#654: note (0) +#655: note (0) +#656: note (0) +#657: note (0) +#658: note (0) +#659: note (0) +#660: note (0) +#661: note (0) +#662: note (0) +#663: note (0) +#664: note (0) +#665: note (0) +#666: note (0) +#667: note (0) +#668: note (0) +#669: note (0) +#670: note (0) +#671: note (0) +#672: note (0) +#673: note (0) +#674: note (0) +#675: note (0) +#676: note (0) +#677: note (0) +#678: note (0) +#679: note (0) +#680: note (0) +#681: note (0) +#682: note (0) +#683: note (0) +#684: note (0) +#685: note (0) +#686: note (0) +#687: note (0) +#688: note (0) +#689: note (0) +#690: note (0) +#691: note (0) +#692: note (0) +#693: note (0) +#694: note (0) +#695: note (0) +#696: note (0) +#697: note (0) +#698: note (0) +#699: note (0) +#700: note (0) +#701: note (0) +#702: note (0) +#703: note (0) +#704: note (0) +#705: note (0) +#706: note (0) +#707: note (0) +#708: note (0) +#709: note (0) +#710: note (0) +#711: note (0) +#712: note (0) +#713: note (0) +#714: note (0) +#715: note (0) +#716: note (0) +#717: note (0) +#718: note (0) +#719: note (0) +#720: note (0) +#721: note (0) +#722: note (0) +#723: note (0) +#724: note (0) +#725: note (0) +#726: note (0) +#727: note (0) +#728: note (0) +#729: note (0) +#730: note (0) +#731: note (0) +#732: note (0) +#733: note (0) +#734: note (0) +#735: note (0) +#736: note (0) +#737: 3 +#738: 3 +#739: 3 +#740: 3 +#741: 3 +#742: 3 +#743: 3 +#744: 3 +#745: 3 +#746: 3 +#747: 3 +#748: 3 +#749: 3 +#750: 3 +#751: 3 +#752: 3 +#753: 3 +#754: 3 +#755: 3 +#756: 3 +#757: 3 +#758: 3 +#759: 3 +#760: 3 +#761: 3 +#762: 3 +#763: 3 +#764: 3 +#765: 3 +#766: 3 +#767: 3 +#768: 3 +#769: 3 +#770: 3 +#771: 3 +#772: 3 +#773: 3 +#774: 3 +#775: 3 +#776: 3 +#777: 3 +#778: 3 +#779: 3 +#780: 3 +#781: 3 +#782: 3 +#783: 3 +#784: 3 +#785: 3 +#786: 3 +#787: 3 +#788: 3 +#789: 3 +#790: 3 +#791: 3 +#792: 3 +#793: 3 +#794: 3 +#795: 3 +#796: 3 +#797: 3 +#798: 3 +#799: 3 +#800: 3 +#801: 3 +#802: 3 +#803: 3 +#804: 3 +#805: 3 +#806: 3 +#807: 3 +#808: 3 +#809: 3 +#810: 3 +#811: 3 +#812: 3 +#813: 3 +#814: 3 +#815: 3 +#816: 3 +#817: 3 +#818: 3 +#819: 3 +#820: 3 +#821: 3 +#822: 3 +#823: 3 +#824: 3 +#825: 3 +#826: 3 +#827: 3 +#828: 3 +#829: 3 +#830: 3 +#831: 3 +#832: 3 +#833: 3 +#834: 3 +#835: 3 +#836: 3 +#837: 3 +#838: 3 +#839: 3 +#840: 3 +#841: 3 +#842: 3 +#843: 3 +#844: 3 +#845: 3 +#846: 3 +#847: 3 +#848: 3 +#849: 3 +#850: 3 +#851: 3 +#852: 3 +#853: 3 +#854: 3 +#855: 3 +#856: 3 +#857: 3 +#858: 3 +#859: 3 +#860: 3 +#861: 3 +#862: 3 +#863: 3 +#864: 3 +#865: 3 +#866: 3 +#867: 3 +#868: 3 +#869: 3 +#870: 3 +#871: 3 +#872: 3 +#873: 3 +#874: 3 +#875: 3 +#876: 3 +#877: 3 +#878: 3 +#879: 3 +#880: 3 +#881: 3 +#882: 3 +#883: 3 +#884: 3 +#885: 3 +#886: 3 +#887: 3 +#888: 3 +#889: 3 +#890: 3 +#891: 3 +#892: 3 +#893: 3 +#894: 3 +#895: 3 +#896: 3 +#897: 3 +#898: 3 +#899: 3 +#900: 3 +#901: 3 +#902: 3 +#903: 3 +#904: 3 +#905: 3 +#906: 3 +#907: 3 +#908: 3 +#909: 3 +#910: 3 +#911: 3 +#912: 3 +#913: 3 +#914: 3 +#915: 3 +#916: 3 +#917: 3 +#918: 3 +#919: 3 +#920: 3 +#921: 3 +#922: 3 +#923: 3 +#924: 3 +#925: 3 +#926: 3 +#927: 3 +#928: 3 +#929: 3 +#930: 3 +#931: 3 +#932: 3 +#933: 3 +#934: 3 +#935: 3 +#936: 3 +#937: 3 +#938: 3 +#939: 3 +#940: 3 +#941: 3 +#942: 3 +#943: 3 +#944: 3 +#945: 3 +#946: 3 +#947: 3 +#948: 3 +#949: 3 +#950: 3 +#951: 3 +#952: 3 +#953: 3 +#954: 3 +#955: 3 +#956: 3 +#957: 3 +#958: 3 +#959: 3 +#960: 3 +#961: 3 +#962: 3 +#963: 3 +#964: 3 +#965: 3 +#966: 3 +#967: 3 +#968: 3 +#969: 3 +#970: 3 +#971: 3 +#972: 3 +#973: 3 +#974: 3 +#975: 3 +#976: 3 +#977: 3 +#978: 3 +#979: 3 +#980: 3 +#981: 3 +#982: 3 +#983: 3 +#984: 3 +#985: 3 +#986: 3 +#987: 3 +#988: 3 +#989: 3 +#990: 3 +#991: 3 +#992: 3 +#993: 3 +#994: 3 +#995: 3 +#996: 3 +#997: 3 +#998: 3 +#999: 3 +#1000: 3 +#1001: 3 +#1002: 3 +#1003: 3 +#1004: 3 +#1005: 3 +#1006: 3 +#1007: 3 +#1008: 3 +#1009: 3 +#1010: 3 +#1011: 3 +#1012: 3 +#1013: 3 +#1014: 3 +#1015: 3 +#1016: 3 +#1017: 3 +#1018: 3 +#1019: 3 +#1020: 3 +#1021: 3 +#1022: 3 +#1023: 3 +#1024: 3 +#1025: 3 +#1026: 3 +#1027: 3 +#1028: 3 +#1029: 3 +#1030: 3 +#1031: 3 +#1032: 3 +#1033: 3 +#1034: 3 +#1035: 3 +#1036: 3 +#1037: 3 +#1038: 3 +#1039: 3 +#1040: 3 +#1041: 3 +#1042: 3 +#1043: 3 +#1044: 3 +#1045: 3 +#1046: 3 +#1047: 3 +#1048: 3 +#1049: 3 +#1050: 3 +#1051: 3 +#1052: 3 +#1053: 3 +#1054: 3 +#1055: 3 +#1056: 3 +#1057: 3 +#1058: 3 +#1059: 3 +#1060: 3 +#1061: 3 +#1062: 3 +#1063: 3 +#1064: 3 +#1065: 3 +#1066: 3 +#1067: 3 +#1068: 3 +#1069: 3 +#1070: 3 +#1071: 3 +#1072: 3 +#1073: 3 +#1074: 3 +#1075: 3 +#1076: 3 +#1077: 3 +#1078: 3 +#1079: 3 +#1080: 3 +#1081: 3 +#1082: 3 +#1083: 3 +#1084: 3 +#1085: 3 +#1086: 3 +#1087: 3 +#1088: 3 +#1089: 3 +#1090: 3 +#1091: 3 +#1092: 3 +#1093: 3 +#1094: 3 +#1095: 3 +#1096: 3 +#1097: 3 +#1098: 3 +#1099: 3 +#1100: 3 +#1101: 3 +#1102: 3 +#1103: 3 +#1104: 3 +#1105: 3 +#1106: 3 +#1107: 3 +#1108: 3 +#1109: 3 +#1110: 3 +#1111: 3 +#1112: 3 +#1113: 3 +#1114: 3 +#1115: 3 +#1116: 3 +#1117: 3 +#1118: 3 +#1119: 3 +#1120: 3 +#1121: 3 +#1122: 3 +#1123: 3 +#1124: 3 +#1125: 3 +#1126: 3 +#1127: 3 +#1128: 3 +#1129: 3 +#1130: 3 +#1131: 3 +#1132: 3 +#1133: 3 +#1134: 3 +#1135: 3 +#1136: 3 +#1137: 3 +#1138: 3 +#1139: 3 +#1140: 3 +#1141: 3 +#1142: 3 +#1143: 3 +#1144: 3 +#1145: 3 +#1146: 3 +#1147: 3 +#1148: 3 +#1149: 3 +#1150: 3 +#1151: 3 +#1152: 3 +#1153: 3 +#1154: 3 +#1155: 3 +#1156: 3 +#1157: 3 +#1158: 3 +#1159: 3 +#1160: 3 +#1161: 3 +#1162: 3 +#1163: 3 +#1164: 3 +#1165: 3 +#1166: 3 +#1167: 3 +#1168: 3 +#1169: 3 +#1170: 3 +#1171: 3 +#1172: 3 +#1173: 3 +#1174: 3 +#1175: 3 +#1176: 3 +#1177: 3 +#1178: 3 +#1179: 3 +#1180: 3 +#1181: 3 +#1182: 3 +#1183: 3 +#1184: 3 +#1185: 3 +#1186: 3 +#1187: 3 +#1188: 3 +#1189: 3 +#1190: 3 +#1191: 3 +#1192: 3 +#1193: 3 +#1194: 3 +#1195: 3 +#1196: 3 +#1197: 3 +#1198: 3 +#1199: 3 +#1200: 3 +#1201: 3 +#1202: 3 +#1203: 3 +#1204: 3 +#1205: 3 +#1206: 3 +#1207: 3 +#1208: 3 +#1209: 3 +#1210: 3 +#1211: 3 +#1212: 3 +#1213: 3 +#1214: 3 +#1215: 3 +#1216: 3 +#1217: 3 +#1218: 3 +#1219: 3 +#1220: 3 +#1221: 3 +#1222: 3 +#1223: 3 +#1224: 3 +#1225: 3 +#1226: 3 +#1227: 3 +#1228: 3 +#1229: 3 +#1230: 3 +#1231: 3 +#1232: 3 +#1233: 3 +#1234: 3 +#1235: 3 +#1236: 3 +#1237: 3 +#1238: 3 +#1239: 3 +#1240: 3 +#1241: 3 +#1242: 3 +#1243: 3 +#1244: 3 +#1245: 3 +#1246: 3 +#1247: 3 +#1248: 3 +#1249: 3000000 +#1250: 3000000 +#1251: 3000000 +#1252: 3000000 +#1253: 3000000 +#1254: 3000000 +#1255: 3000000 +#1256: 3000000 +#1257: 3000000 +#1258: 3000000 +#1259: 3000000 +#1260: 3000000 +#1261: 3000000 +#1262: 3000000 +#1263: 3000000 +#1264: 3000000 +#1265: 3000000 +#1266: 3000000 +#1267: 3000000 +#1268: 3000000 +#1269: 3000000 +#1270: 3000000 +#1271: 3000000 +#1272: 3000000 +#1273: 3000000 +#1274: 3000000 +#1275: 3000000 +#1276: 3000000 +#1277: 3000000 +#1278: 3000000 +#1279: 3000000 +#1280: 3000000 +#1281: 3000000 +#1282: 3000000 +#1283: 3000000 +#1284: 3000000 +#1285: 3000000 +#1286: 3000000 +#1287: 3000000 +#1288: 3000000 +#1289: 3000000 +#1290: 3000000 +#1291: 3000000 +#1292: 3000000 +#1293: 3000000 +#1294: 3000000 +#1295: 3000000 +#1296: 3000000 +#1297: 3000000 +#1298: 3000000 +#1299: 3000000 +#1300: 3000000 +#1301: 3000000 +#1302: 3000000 +#1303: 3000000 +#1304: 3000000 +#1305: 3000000 +#1306: 3000000 +#1307: 3000000 +#1308: 3000000 +#1309: 3000000 +#1310: 3000000 +#1311: 3000000 +#1312: 3000000 +#1313: 3000000 +#1314: 3000000 +#1315: 3000000 +#1316: 3000000 +#1317: 3000000 +#1318: 3000000 +#1319: 3000000 +#1320: 3000000 +#1321: 3000000 +#1322: 3000000 +#1323: 3000000 +#1324: 3000000 +#1325: 3000000 +#1326: 3000000 +#1327: 3000000 +#1328: 3000000 +#1329: 3000000 +#1330: 3000000 +#1331: 3000000 +#1332: 3000000 +#1333: 3000000 +#1334: 3000000 +#1335: 3000000 +#1336: 3000000 +#1337: 3000000 +#1338: 3000000 +#1339: 3000000 +#1340: 3000000 +#1341: 3000000 +#1342: 3000000 +#1343: 3000000 +#1344: 3000000 +#1345: 3000000 +#1346: 3000000 +#1347: 3000000 +#1348: 3000000 +#1349: 3000000 +#1350: 3000000 +#1351: 3000000 +#1352: 3000000 +#1353: 3000000 +#1354: 3000000 +#1355: 3000000 +#1356: 3000000 +#1357: 3000000 +#1358: 3000000 +#1359: 3000000 +#1360: 3000000 +#1361: 3000000 +#1362: 3000000 +#1363: 3000000 +#1364: 3000000 +#1365: 3000000 +#1366: 3000000 +#1367: 3000000 +#1368: 3000000 +#1369: 3000000 +#1370: 3000000 +#1371: 3000000 +#1372: 3000000 +#1373: 3000000 +#1374: 3000000 +#1375: 3000000 +#1376: 3000000 +#1377: 3000000 +#1378: 3000000 +#1379: 3000000 +#1380: 3000000 +#1381: 3000000 +#1382: 3000000 +#1383: 3000000 +#1384: 3000000 +#1385: 3000000 +#1386: 3000000 +#1387: 3000000 +#1388: 3000000 +#1389: 3000000 +#1390: 3000000 +#1391: 3000000 +#1392: 3000000 +#1393: 3000000 +#1394: 3000000 +#1395: 3000000 +#1396: 3000000 +#1397: 3000000 +#1398: 3000000 +#1399: 3000000 +#1400: 3000000 +#1401: 3000000 +#1402: 3000000 +#1403: 3000000 +#1404: 3000000 +#1405: 3000000 +#1406: 3000000 +#1407: 3000000 +#1408: 3000000 +#1409: 3000000 +#1410: 3000000 +#1411: 3000000 +#1412: 3000000 +#1413: 3000000 +#1414: 3000000 +#1415: 3000000 +#1416: 3000000 +#1417: 3000000 +#1418: 3000000 +#1419: 3000000 +#1420: 3000000 +#1421: 3000000 +#1422: 3000000 +#1423: 3000000 +#1424: 3000000 +#1425: 3000000 +#1426: 3000000 +#1427: 3000000 +#1428: 3000000 +#1429: 3000000 +#1430: 3000000 +#1431: 3000000 +#1432: 3000000 +#1433: 3000000 +#1434: 3000000 +#1435: 3000000 +#1436: 3000000 +#1437: 3000000 +#1438: 3000000 +#1439: 3000000 +#1440: 3000000 +#1441: 3000000 +#1442: 3000000 +#1443: 3000000 +#1444: 3000000 +#1445: 3000000 +#1446: 3000000 +#1447: 3000000 +#1448: 3000000 +#1449: 3000000 +#1450: 3000000 +#1451: 3000000 +#1452: 3000000 +#1453: 3000000 +#1454: 3000000 +#1455: 3000000 +#1456: 3000000 +#1457: 3000000 +#1458: 3000000 +#1459: 3000000 +#1460: 3000000 +#1461: 3000000 +#1462: 3000000 +#1463: 3000000 +#1464: 3000000 +#1465: 3000000 +#1466: 3000000 +#1467: 3000000 +#1468: 3000000 +#1469: 3000000 +#1470: 3000000 +#1471: 3000000 +#1472: 3000000 +#1473: 3000000 +#1474: 3000000 +#1475: 3000000 +#1476: 3000000 +#1477: 3000000 +#1478: 3000000 +#1479: 3000000 +#1480: 3000000 +#1481: 3000000 +#1482: 3000000 +#1483: 3000000 +#1484: 3000000 +#1485: 3000000 +#1486: 3000000 +#1487: 3000000 +#1488: 3000000 +#1489: 3000000 +#1490: 3000000 +#1491: 3000000 +#1492: 3000000 +#1493: 3000000 +#1494: 3000000 +#1495: 3000000 +#1496: 3000000 +#1497: 3000000 +#1498: 3000000 +#1499: 3000000 +#1500: 3000000 +#1501: 3000000 +#1502: 3000000 +#1503: 3000000 +#1504: 3000000 +#1505: 1 +#1506: 1 +#1507: 1 +#1508: 1 +#1509: 1 +#1510: 1 +#1511: 1 +#1512: 1 +#1513: 1 +#1514: 1 +#1515: 1 +#1516: 1 +#1517: 1 +#1518: 1 +#1519: 1 +#1520: 1 +#1521: 1 +#1522: 1 +#1523: 1 +#1524: 1 +#1525: 1 +#1526: 1 +#1527: 1 +#1528: 1 +#1529: 1 +#1530: 1 +#1531: 1 +#1532: 1 +#1533: 1 +#1534: 1 +#1535: 1 +#1536: 1 +#1537: 1 +#1538: 1 +#1539: 1 +#1540: 1 +#1541: 1 +#1542: 1 +#1543: 1 +#1544: 1 +#1545: 1 +#1546: 1 +#1547: 1 +#1548: 1 +#1549: 1 +#1550: 1 +#1551: 1 +#1552: 1 +#1553: 1 +#1554: 1 +#1555: 1 +#1556: 1 +#1557: 1 +#1558: 1 +#1559: 1 +#1560: 1 +#1561: 1 +#1562: 1 +#1563: 1 +#1564: 1 +#1565: 1 +#1566: 1 +#1567: 1 +#1568: 1 +#1569: 1 +#1570: 1 +#1571: 1 +#1572: 1 +#1573: 1 +#1574: 1 +#1575: 1 +#1576: 1 +#1577: 1 +#1578: 1 +#1579: 1 +#1580: 1 +#1581: 1 +#1582: 1 +#1583: 1 +#1584: 1 +#1585: 1 +#1586: 1 +#1587: 1 +#1588: 1 +#1589: 1 +#1590: 1 +#1591: 1 +#1592: 1 +#1593: 1 +#1594: 1 +#1595: 1 +#1596: 1 +#1597: 1 +#1598: 1 +#1599: 1 +#1600: 1 +#1601: 1 +#1602: 1 +#1603: 1 +#1604: 1 +#1605: 1 +#1606: 1 +#1607: 1 +#1608: 1 +#1609: 1 +#1610: 1 +#1611: 1 +#1612: 1 +#1613: 1 +#1614: 1 +#1615: 1 +#1616: 1 +#1617: 1 +#1618: 1 +#1619: 1 +#1620: 1 +#1621: 1 +#1622: 1 +#1623: 1 +#1624: 1 +#1625: 1 +#1626: 1 +#1627: 1 +#1628: 1 +#1629: 1 +#1630: 1 +#1631: 1 +#1632: 1 +#1633: 1 +#1634: 1 +#1635: 1 +#1636: 1 +#1637: 1 +#1638: 1 +#1639: 1 +#1640: 1 +#1641: 1 +#1642: 1 +#1643: 1 +#1644: 1 +#1645: 1 +#1646: 1 +#1647: 1 +#1648: 1 +#1649: 1 +#1650: 1 +#1651: 1 +#1652: 1 +#1653: 1 +#1654: 1 +#1655: 1 +#1656: 1 +#1657: 1 +#1658: 1 +#1659: 1 +#1660: 1 +#1661: 1 +#1662: 1 +#1663: 1 +#1664: 1 +#1665: 1 +#1666: 1 +#1667: 1 +#1668: 1 +#1669: 1 +#1670: 1 +#1671: 1 +#1672: 1 +#1673: 1 +#1674: 1 +#1675: 1 +#1676: 1 +#1677: 1 +#1678: 1 +#1679: 1 +#1680: 1 +#1681: 1 +#1682: 1 +#1683: 1 +#1684: 1 +#1685: 1 +#1686: 1 +#1687: 1 +#1688: 1 +#1689: 1 +#1690: 1 +#1691: 1 +#1692: 1 +#1693: 1 +#1694: 1 +#1695: 1 +#1696: 1 +#1697: 1 +#1698: 1 +#1699: 1 +#1700: 1 +#1701: 1 +#1702: 1 +#1703: 1 +#1704: 1 +#1705: 1 +#1706: 1 +#1707: 1 +#1708: 1 +#1709: 1 +#1710: 1 +#1711: 1 +#1712: 1 +#1713: 1 +#1714: 1 +#1715: 1 +#1716: 1 +#1717: 1 +#1718: 1 +#1719: 1 +#1720: 1 +#1721: 1 +#1722: 1 +#1723: 1 +#1724: 1 +#1725: 1 +#1726: 1 +#1727: 1 +#1728: 1 +#1729: 1 +#1730: 1 +#1731: 1 +#1732: 1 +#1733: 1 +#1734: 1 +#1735: 1 +#1736: 1 +#1737: 1 +#1738: 1 +#1739: 1 +#1740: 1 +#1741: 1 +#1742: 1 +#1743: 1 +#1744: 1 +#1745: 1 +#1746: 1 +#1747: 1 +#1748: 1 +#1749: 1 +#1750: 1 +#1751: 1 +#1752: 1 +#1753: 1 +#1754: 1 +#1755: 1 +#1756: 1 +#1757: 1 +#1758: 1 +#1759: 1 +#1760: 1 +#1761: 1 +#1762: 1 +#1763: 1 +#1764: 1 +#1765: 1 +#1766: 1 +#1767: 1 +#1768: 1 +#1769: 1 +#1770: 1 +#1771: 1 +#1772: 1 +#1773: 1 +#1774: 1 +#1775: 1 +#1776: 1 +#1777: 1 +#1778: 1 +#1779: 1 +#1780: 1 +#1781: 1 +#1782: 1 +#1783: 1 +#1784: 1 +#1785: 1 +#1786: 1 +#1787: 1 +#1788: 1 +#1789: 1 +#1790: 1 +#1791: 1 +#1792: 1 +#1793: 1 +#1794: 1 +#1795: 1 +#1796: 1 +#1797: 1 +#1798: 1 +#1799: 1 +#1800: 1 +#1801: 1 +#1802: 1 +#1803: 1 +#1804: 1 +#1805: 1 +#1806: 1 +#1807: 1 +#1808: 1 +#1809: 1 +#1810: 1 +#1811: 1 +#1812: 1 +#1813: 1 +#1814: 1 +#1815: 1 +#1816: 1 +#1817: 1 +#1818: 1 +#1819: 1 +#1820: 1 +#1821: 1 +#1822: 1 +#1823: 1 +#1824: 1 +#1825: 1 +#1826: 1 +#1827: 1 +#1828: 1 +#1829: 1 +#1830: 1 +#1831: 1 +#1832: 1 +#1833: 1 +#1834: 1 +#1835: 1 +#1836: 1 +#1837: 1 +#1838: 1 +#1839: 1 +#1840: 1 +#1841: 1 +#1842: 1 +#1843: 1 +#1844: 1 +#1845: 1 +#1846: 1 +#1847: 1 +#1848: 1 +#1849: 1 +#1850: 1 +#1851: 1 +#1852: 1 +#1853: 1 +#1854: 1 +#1855: 1 +#1856: 1 +#1857: 1 +#1858: 1 +#1859: 1 +#1860: 1 +#1861: 1 +#1862: 1 +#1863: 1 +#1864: 1 +#1865: 1 +#1866: 1 +#1867: 1 +#1868: 1 +#1869: 1 +#1870: 1 +#1871: 1 +#1872: 1 +#1873: 1 +#1874: 1 +#1875: 1 +#1876: 1 +#1877: 1 +#1878: 1 +#1879: 1 +#1880: 1 +#1881: 1 +#1882: 1 +#1883: 1 +#1884: 1 +#1885: 1 +#1886: 1 +#1887: 1 +#1888: 1 +#1889: 1 +#1890: 1 +#1891: 1 +#1892: 1 +#1893: 1 +#1894: 1 +#1895: 1 +#1896: 1 +#1897: 1 +#1898: 1 +#1899: 1 +#1900: 1 +#1901: 1 +#1902: 1 +#1903: 1 +#1904: 1 +#1905: 1 +#1906: 1 +#1907: 1 +#1908: 1 +#1909: 1 +#1910: 1 +#1911: 1 +#1912: 1 +#1913: 1 +#1914: 1 +#1915: 1 +#1916: 1 +#1917: 1 +#1918: 1 +#1919: 1 +#1920: 1 +#1921: 1 +#1922: 1 +#1923: 1 +#1924: 1 +#1925: 1 +#1926: 1 +#1927: 1 +#1928: 1 +#1929: 1 +#1930: 1 +#1931: 1 +#1932: 1 +#1933: 1 +#1934: 1 +#1935: 1 +#1936: 1 +#1937: 1 +#1938: 1 +#1939: 1 +#1940: 1 +#1941: 1 +#1942: 1 +#1943: 1 +#1944: 1 +#1945: 1 +#1946: 1 +#1947: 1 +#1948: 1 +#1949: 1 +#1950: 1 +#1951: 1 +#1952: 1 +#1953: 1 +#1954: 1 +#1955: 1 +#1956: 1 +#1957: 1 +#1958: 1 +#1959: 1 +#1960: 1 +#1961: 1 +#1962: 1 +#1963: 1 +#1964: 1 +#1965: 1 +#1966: 1 +#1967: 1 +#1968: 1 +#1969: 1 +#1970: 1 +#1971: 1 +#1972: 1 +#1973: 1 +#1974: 1 +#1975: 1 +#1976: 1 +#1977: 1 +#1978: 1 +#1979: 1 +#1980: 1 +#1981: 1 +#1982: 1 +#1983: 1 +#1984: 1 +#1985: 1 +#1986: 1 +#1987: 1 +#1988: 1 +#1989: 1 +#1990: 1 +#1991: 1 +#1992: 1 +#1993: 1 +#1994: 1 +#1995: 1 +#1996: 1 +#1997: 1 +#1998: 1 +#1999: 1 +#2000: 1 +#2001: 1 +#2002: 1 +#2003: 1 +#2004: 1 +#2005: 1 +#2006: 1 +#2007: 1 +#2008: 1 +#2009: 1 +#2010: 1 +#2011: 1 +#2012: 1 +#2013: 1 +#2014: 1 +#2015: 1 +#2016: 1 +#2017: true (1) +#2018: '1' (1) +#2019: 's' (115) +#2020: note (0) +#2021: 3 +#2022: 3 +#2023: 3000000 +#2024: 1 +#2025: 1 +#2026: 's' (115) +#2027: 'h' (104) +#2028: 'i' (105) +#2029: 's' (115) +#2030: 'h' (104) +#2031: 'i' (105) +#2032: 'r' (114) +#2033: '0' (0) +#2034: '0' (0) +#2035: '1' (1) +#2036: '1' (1) +#2037: true (1) +#2038: true (1) +#2039: true (1) +#2040: true (1) +#2041: true (1) +#2042: true (1) +#2043: true (1) +#2044: true (1) +#2045: true (1) +#2046: true (1) +#2047: true (1) +#2048: true (1) +#2049: true (1) +#2050: true (1) +#2051: true (1) +#2052: true (1) +#2053: note (0) +#2054: note (0) +#2055: note (0) +#2056: note (0) +#2057: note (0) +#2058: note (0) +#2059: note (0) +#2060: note (0) +#2061: note (0) +#2062: note (0) +#2063: note (0) +#2064: note (0) +#2065: note (0) +#2066: note (0) +#2067: note (0) +#2068: note (0) +#2069: 3 +#2070: 3 +#2071: 3 +#2072: 3 +#2073: 3 +#2074: 3 +#2075: 3 +#2076: 3 +#2077: 3 +#2078: 3 +#2079: 3 +#2080: 3 +#2081: 3 +#2082: 3 +#2083: 3 +#2084: 3 +#2085: 3 +#2086: 3 +#2087: 3 +#2088: 3 +#2089: 3 +#2090: 3 +#2091: 3 +#2092: 3 +#2093: 3 +#2094: 3 +#2095: 3 +#2096: 3 +#2097: 3 +#2098: 3 +#2099: 3 +#2100: 3 +#2101: 3000000 +#2102: 3000000 +#2103: 3000000 +#2104: 3000000 +#2105: 3000000 +#2106: 3000000 +#2107: 3000000 +#2108: 3000000 +#2109: 3000000 +#2110: 3000000 +#2111: 3000000 +#2112: 3000000 +#2113: 3000000 +#2114: 3000000 +#2115: 3000000 +#2116: 3000000 +#2117: 1 +#2118: 1 +#2119: 1 +#2120: 1 +#2121: 1 +#2122: 1 +#2123: 1 +#2124: 1 +#2125: 1 +#2126: 1 +#2127: 1 +#2128: 1 +#2129: 1 +#2130: 1 +#2131: 1 +#2132: 1 +#2133: 1 +#2134: 1 +#2135: 1 +#2136: 1 +#2137: 1 +#2138: 1 +#2139: 1 +#2140: 1 +#2141: 1 +#2142: 1 +#2143: 1 +#2144: 1 +#2145: 1 +#2146: 1 +#2147: 1 +#2148: 1 +#2149: true (1) +#2150: true (1) +#2151: true (1) +#2152: true (1) +#2153: true (1) +#2154: true (1) +#2155: true (1) +#2156: true (1) +#2157: true (1) +#2158: true (1) +#2159: true (1) +#2160: true (1) +#2161: true (1) +#2162: true (1) +#2163: true (1) +#2164: true (1) +#2165: true (1) +#2166: true (1) +#2167: true (1) +#2168: true (1) +#2169: true (1) +#2170: true (1) +#2171: true (1) +#2172: true (1) +#2173: true (1) +#2174: true (1) +#2175: true (1) +#2176: true (1) +#2177: true (1) +#2178: true (1) +#2179: true (1) +#2180: true (1) +#2181: true (1) +#2182: true (1) +#2183: true (1) +#2184: true (1) +#2185: true (1) +#2186: true (1) +#2187: true (1) +#2188: true (1) +#2189: true (1) +#2190: true (1) +#2191: true (1) +#2192: true (1) +#2193: true (1) +#2194: true (1) +#2195: true (1) +#2196: true (1) +#2197: true (1) +#2198: true (1) +#2199: true (1) +#2200: true (1) +#2201: true (1) +#2202: true (1) +#2203: true (1) +#2204: true (1) +#2205: true (1) +#2206: true (1) +#2207: true (1) +#2208: true (1) +#2209: true (1) +#2210: true (1) +#2211: true (1) +#2212: true (1) +#2213: true (1) +#2214: true (1) +#2215: true (1) +#2216: true (1) +#2217: true (1) +#2218: true (1) +#2219: true (1) +#2220: true (1) +#2221: true (1) +#2222: true (1) +#2223: true (1) +#2224: true (1) +#2225: true (1) +#2226: true (1) +#2227: true (1) +#2228: true (1) +#2229: true (1) +#2230: true (1) +#2231: true (1) +#2232: true (1) +#2233: true (1) +#2234: true (1) +#2235: true (1) +#2236: true (1) +#2237: true (1) +#2238: true (1) +#2239: true (1) +#2240: true (1) +#2241: true (1) +#2242: true (1) +#2243: true (1) +#2244: true (1) +#2245: true (1) +#2246: true (1) +#2247: true (1) +#2248: true (1) +#2249: true (1) +#2250: true (1) +#2251: true (1) +#2252: true (1) +#2253: true (1) +#2254: true (1) +#2255: true (1) +#2256: true (1) +#2257: true (1) +#2258: true (1) +#2259: true (1) +#2260: true (1) +#2261: true (1) +#2262: true (1) +#2263: true (1) +#2264: true (1) +#2265: true (1) +#2266: true (1) +#2267: true (1) +#2268: true (1) +#2269: true (1) +#2270: true (1) +#2271: true (1) +#2272: true (1) +#2273: true (1) +#2274: true (1) +#2275: true (1) +#2276: true (1) +#2277: true (1) +#2278: true (1) +#2279: true (1) +#2280: true (1) +#2281: true (1) +#2282: true (1) +#2283: true (1) +#2284: true (1) +#2285: true (1) +#2286: true (1) +#2287: true (1) +#2288: true (1) +#2289: true (1) +#2290: true (1) +#2291: true (1) +#2292: true (1) +#2293: true (1) +#2294: true (1) +#2295: true (1) +#2296: true (1) +#2297: true (1) +#2298: true (1) +#2299: true (1) +#2300: true (1) +#2301: true (1) +#2302: true (1) +#2303: true (1) +#2304: true (1) +#2305: true (1) +#2306: true (1) +#2307: true (1) +#2308: true (1) +#2309: true (1) +#2310: true (1) +#2311: true (1) +#2312: true (1) +#2313: true (1) +#2314: true (1) +#2315: true (1) +#2316: true (1) +#2317: true (1) +#2318: true (1) +#2319: true (1) +#2320: true (1) +#2321: true (1) +#2322: true (1) +#2323: true (1) +#2324: true (1) +#2325: true (1) +#2326: true (1) +#2327: true (1) +#2328: true (1) +#2329: true (1) +#2330: true (1) +#2331: true (1) +#2332: true (1) +#2333: true (1) +#2334: true (1) +#2335: true (1) +#2336: true (1) +#2337: true (1) +#2338: true (1) +#2339: true (1) +#2340: true (1) +#2341: true (1) +#2342: true (1) +#2343: true (1) +#2344: true (1) +#2345: true (1) +#2346: true (1) +#2347: true (1) +#2348: true (1) +#2349: true (1) +#2350: true (1) +#2351: true (1) +#2352: true (1) +#2353: true (1) +#2354: true (1) +#2355: true (1) +#2356: true (1) +#2357: true (1) +#2358: true (1) +#2359: true (1) +#2360: true (1) +#2361: true (1) +#2362: true (1) +#2363: true (1) +#2364: true (1) +#2365: true (1) +#2366: true (1) +#2367: true (1) +#2368: true (1) +#2369: true (1) +#2370: true (1) +#2371: true (1) +#2372: true (1) +#2373: true (1) +#2374: true (1) +#2375: true (1) +#2376: true (1) +#2377: true (1) +#2378: true (1) +#2379: true (1) +#2380: true (1) +#2381: true (1) +#2382: true (1) +#2383: true (1) +#2384: true (1) +#2385: true (1) +#2386: true (1) +#2387: true (1) +#2388: true (1) +#2389: true (1) +#2390: true (1) +#2391: true (1) +#2392: true (1) +#2393: true (1) +#2394: true (1) +#2395: true (1) +#2396: true (1) +#2397: true (1) +#2398: true (1) +#2399: true (1) +#2400: true (1) +#2401: true (1) +#2402: true (1) +#2403: true (1) +#2404: true (1) +#2405: note (0) +#2406: note (0) +#2407: note (0) +#2408: note (0) +#2409: note (0) +#2410: note (0) +#2411: note (0) +#2412: note (0) +#2413: note (0) +#2414: note (0) +#2415: note (0) +#2416: note (0) +#2417: note (0) +#2418: note (0) +#2419: note (0) +#2420: note (0) +#2421: note (0) +#2422: note (0) +#2423: note (0) +#2424: note (0) +#2425: note (0) +#2426: note (0) +#2427: note (0) +#2428: note (0) +#2429: note (0) +#2430: note (0) +#2431: note (0) +#2432: note (0) +#2433: note (0) +#2434: note (0) +#2435: note (0) +#2436: note (0) +#2437: note (0) +#2438: note (0) +#2439: note (0) +#2440: note (0) +#2441: note (0) +#2442: note (0) +#2443: note (0) +#2444: note (0) +#2445: note (0) +#2446: note (0) +#2447: note (0) +#2448: note (0) +#2449: note (0) +#2450: note (0) +#2451: note (0) +#2452: note (0) +#2453: note (0) +#2454: note (0) +#2455: note (0) +#2456: note (0) +#2457: note (0) +#2458: note (0) +#2459: note (0) +#2460: note (0) +#2461: note (0) +#2462: note (0) +#2463: note (0) +#2464: note (0) +#2465: note (0) +#2466: note (0) +#2467: note (0) +#2468: note (0) +#2469: note (0) +#2470: note (0) +#2471: note (0) +#2472: note (0) +#2473: note (0) +#2474: note (0) +#2475: note (0) +#2476: note (0) +#2477: note (0) +#2478: note (0) +#2479: note (0) +#2480: note (0) +#2481: note (0) +#2482: note (0) +#2483: note (0) +#2484: note (0) +#2485: note (0) +#2486: note (0) +#2487: note (0) +#2488: note (0) +#2489: note (0) +#2490: note (0) +#2491: note (0) +#2492: note (0) +#2493: note (0) +#2494: note (0) +#2495: note (0) +#2496: note (0) +#2497: note (0) +#2498: note (0) +#2499: note (0) +#2500: note (0) +#2501: note (0) +#2502: note (0) +#2503: note (0) +#2504: note (0) +#2505: note (0) +#2506: note (0) +#2507: note (0) +#2508: note (0) +#2509: note (0) +#2510: note (0) +#2511: note (0) +#2512: note (0) +#2513: note (0) +#2514: note (0) +#2515: note (0) +#2516: note (0) +#2517: note (0) +#2518: note (0) +#2519: note (0) +#2520: note (0) +#2521: note (0) +#2522: note (0) +#2523: note (0) +#2524: note (0) +#2525: note (0) +#2526: note (0) +#2527: note (0) +#2528: note (0) +#2529: note (0) +#2530: note (0) +#2531: note (0) +#2532: note (0) +#2533: note (0) +#2534: note (0) +#2535: note (0) +#2536: note (0) +#2537: note (0) +#2538: note (0) +#2539: note (0) +#2540: note (0) +#2541: note (0) +#2542: note (0) +#2543: note (0) +#2544: note (0) +#2545: note (0) +#2546: note (0) +#2547: note (0) +#2548: note (0) +#2549: note (0) +#2550: note (0) +#2551: note (0) +#2552: note (0) +#2553: note (0) +#2554: note (0) +#2555: note (0) +#2556: note (0) +#2557: note (0) +#2558: note (0) +#2559: note (0) +#2560: note (0) +#2561: note (0) +#2562: note (0) +#2563: note (0) +#2564: note (0) +#2565: note (0) +#2566: note (0) +#2567: note (0) +#2568: note (0) +#2569: note (0) +#2570: note (0) +#2571: note (0) +#2572: note (0) +#2573: note (0) +#2574: note (0) +#2575: note (0) +#2576: note (0) +#2577: note (0) +#2578: note (0) +#2579: note (0) +#2580: note (0) +#2581: note (0) +#2582: note (0) +#2583: note (0) +#2584: note (0) +#2585: note (0) +#2586: note (0) +#2587: note (0) +#2588: note (0) +#2589: note (0) +#2590: note (0) +#2591: note (0) +#2592: note (0) +#2593: note (0) +#2594: note (0) +#2595: note (0) +#2596: note (0) +#2597: note (0) +#2598: note (0) +#2599: note (0) +#2600: note (0) +#2601: note (0) +#2602: note (0) +#2603: note (0) +#2604: note (0) +#2605: note (0) +#2606: note (0) +#2607: note (0) +#2608: note (0) +#2609: note (0) +#2610: note (0) +#2611: note (0) +#2612: note (0) +#2613: note (0) +#2614: note (0) +#2615: note (0) +#2616: note (0) +#2617: note (0) +#2618: note (0) +#2619: note (0) +#2620: note (0) +#2621: note (0) +#2622: note (0) +#2623: note (0) +#2624: note (0) +#2625: note (0) +#2626: note (0) +#2627: note (0) +#2628: note (0) +#2629: note (0) +#2630: note (0) +#2631: note (0) +#2632: note (0) +#2633: note (0) +#2634: note (0) +#2635: note (0) +#2636: note (0) +#2637: note (0) +#2638: note (0) +#2639: note (0) +#2640: note (0) +#2641: note (0) +#2642: note (0) +#2643: note (0) +#2644: note (0) +#2645: note (0) +#2646: note (0) +#2647: note (0) +#2648: note (0) +#2649: note (0) +#2650: note (0) +#2651: note (0) +#2652: note (0) +#2653: note (0) +#2654: note (0) +#2655: note (0) +#2656: note (0) +#2657: note (0) +#2658: note (0) +#2659: note (0) +#2660: note (0) +#2661: 3 +#2662: 3 +#2663: 3 +#2664: 3 +#2665: 3 +#2666: 3 +#2667: 3 +#2668: 3 +#2669: 3 +#2670: 3 +#2671: 3 +#2672: 3 +#2673: 3 +#2674: 3 +#2675: 3 +#2676: 3 +#2677: 3 +#2678: 3 +#2679: 3 +#2680: 3 +#2681: 3 +#2682: 3 +#2683: 3 +#2684: 3 +#2685: 3 +#2686: 3 +#2687: 3 +#2688: 3 +#2689: 3 +#2690: 3 +#2691: 3 +#2692: 3 +#2693: 3 +#2694: 3 +#2695: 3 +#2696: 3 +#2697: 3 +#2698: 3 +#2699: 3 +#2700: 3 +#2701: 3 +#2702: 3 +#2703: 3 +#2704: 3 +#2705: 3 +#2706: 3 +#2707: 3 +#2708: 3 +#2709: 3 +#2710: 3 +#2711: 3 +#2712: 3 +#2713: 3 +#2714: 3 +#2715: 3 +#2716: 3 +#2717: 3 +#2718: 3 +#2719: 3 +#2720: 3 +#2721: 3 +#2722: 3 +#2723: 3 +#2724: 3 +#2725: 3 +#2726: 3 +#2727: 3 +#2728: 3 +#2729: 3 +#2730: 3 +#2731: 3 +#2732: 3 +#2733: 3 +#2734: 3 +#2735: 3 +#2736: 3 +#2737: 3 +#2738: 3 +#2739: 3 +#2740: 3 +#2741: 3 +#2742: 3 +#2743: 3 +#2744: 3 +#2745: 3 +#2746: 3 +#2747: 3 +#2748: 3 +#2749: 3 +#2750: 3 +#2751: 3 +#2752: 3 +#2753: 3 +#2754: 3 +#2755: 3 +#2756: 3 +#2757: 3 +#2758: 3 +#2759: 3 +#2760: 3 +#2761: 3 +#2762: 3 +#2763: 3 +#2764: 3 +#2765: 3 +#2766: 3 +#2767: 3 +#2768: 3 +#2769: 3 +#2770: 3 +#2771: 3 +#2772: 3 +#2773: 3 +#2774: 3 +#2775: 3 +#2776: 3 +#2777: 3 +#2778: 3 +#2779: 3 +#2780: 3 +#2781: 3 +#2782: 3 +#2783: 3 +#2784: 3 +#2785: 3 +#2786: 3 +#2787: 3 +#2788: 3 +#2789: 3 +#2790: 3 +#2791: 3 +#2792: 3 +#2793: 3 +#2794: 3 +#2795: 3 +#2796: 3 +#2797: 3 +#2798: 3 +#2799: 3 +#2800: 3 +#2801: 3 +#2802: 3 +#2803: 3 +#2804: 3 +#2805: 3 +#2806: 3 +#2807: 3 +#2808: 3 +#2809: 3 +#2810: 3 +#2811: 3 +#2812: 3 +#2813: 3 +#2814: 3 +#2815: 3 +#2816: 3 +#2817: 3 +#2818: 3 +#2819: 3 +#2820: 3 +#2821: 3 +#2822: 3 +#2823: 3 +#2824: 3 +#2825: 3 +#2826: 3 +#2827: 3 +#2828: 3 +#2829: 3 +#2830: 3 +#2831: 3 +#2832: 3 +#2833: 3 +#2834: 3 +#2835: 3 +#2836: 3 +#2837: 3 +#2838: 3 +#2839: 3 +#2840: 3 +#2841: 3 +#2842: 3 +#2843: 3 +#2844: 3 +#2845: 3 +#2846: 3 +#2847: 3 +#2848: 3 +#2849: 3 +#2850: 3 +#2851: 3 +#2852: 3 +#2853: 3 +#2854: 3 +#2855: 3 +#2856: 3 +#2857: 3 +#2858: 3 +#2859: 3 +#2860: 3 +#2861: 3 +#2862: 3 +#2863: 3 +#2864: 3 +#2865: 3 +#2866: 3 +#2867: 3 +#2868: 3 +#2869: 3 +#2870: 3 +#2871: 3 +#2872: 3 +#2873: 3 +#2874: 3 +#2875: 3 +#2876: 3 +#2877: 3 +#2878: 3 +#2879: 3 +#2880: 3 +#2881: 3 +#2882: 3 +#2883: 3 +#2884: 3 +#2885: 3 +#2886: 3 +#2887: 3 +#2888: 3 +#2889: 3 +#2890: 3 +#2891: 3 +#2892: 3 +#2893: 3 +#2894: 3 +#2895: 3 +#2896: 3 +#2897: 3 +#2898: 3 +#2899: 3 +#2900: 3 +#2901: 3 +#2902: 3 +#2903: 3 +#2904: 3 +#2905: 3 +#2906: 3 +#2907: 3 +#2908: 3 +#2909: 3 +#2910: 3 +#2911: 3 +#2912: 3 +#2913: 3 +#2914: 3 +#2915: 3 +#2916: 3 +#2917: 3 +#2918: 3 +#2919: 3 +#2920: 3 +#2921: 3 +#2922: 3 +#2923: 3 +#2924: 3 +#2925: 3 +#2926: 3 +#2927: 3 +#2928: 3 +#2929: 3 +#2930: 3 +#2931: 3 +#2932: 3 +#2933: 3 +#2934: 3 +#2935: 3 +#2936: 3 +#2937: 3 +#2938: 3 +#2939: 3 +#2940: 3 +#2941: 3 +#2942: 3 +#2943: 3 +#2944: 3 +#2945: 3 +#2946: 3 +#2947: 3 +#2948: 3 +#2949: 3 +#2950: 3 +#2951: 3 +#2952: 3 +#2953: 3 +#2954: 3 +#2955: 3 +#2956: 3 +#2957: 3 +#2958: 3 +#2959: 3 +#2960: 3 +#2961: 3 +#2962: 3 +#2963: 3 +#2964: 3 +#2965: 3 +#2966: 3 +#2967: 3 +#2968: 3 +#2969: 3 +#2970: 3 +#2971: 3 +#2972: 3 +#2973: 3 +#2974: 3 +#2975: 3 +#2976: 3 +#2977: 3 +#2978: 3 +#2979: 3 +#2980: 3 +#2981: 3 +#2982: 3 +#2983: 3 +#2984: 3 +#2985: 3 +#2986: 3 +#2987: 3 +#2988: 3 +#2989: 3 +#2990: 3 +#2991: 3 +#2992: 3 +#2993: 3 +#2994: 3 +#2995: 3 +#2996: 3 +#2997: 3 +#2998: 3 +#2999: 3 +#3000: 3 +#3001: 3 +#3002: 3 +#3003: 3 +#3004: 3 +#3005: 3 +#3006: 3 +#3007: 3 +#3008: 3 +#3009: 3 +#3010: 3 +#3011: 3 +#3012: 3 +#3013: 3 +#3014: 3 +#3015: 3 +#3016: 3 +#3017: 3 +#3018: 3 +#3019: 3 +#3020: 3 +#3021: 3 +#3022: 3 +#3023: 3 +#3024: 3 +#3025: 3 +#3026: 3 +#3027: 3 +#3028: 3 +#3029: 3 +#3030: 3 +#3031: 3 +#3032: 3 +#3033: 3 +#3034: 3 +#3035: 3 +#3036: 3 +#3037: 3 +#3038: 3 +#3039: 3 +#3040: 3 +#3041: 3 +#3042: 3 +#3043: 3 +#3044: 3 +#3045: 3 +#3046: 3 +#3047: 3 +#3048: 3 +#3049: 3 +#3050: 3 +#3051: 3 +#3052: 3 +#3053: 3 +#3054: 3 +#3055: 3 +#3056: 3 +#3057: 3 +#3058: 3 +#3059: 3 +#3060: 3 +#3061: 3 +#3062: 3 +#3063: 3 +#3064: 3 +#3065: 3 +#3066: 3 +#3067: 3 +#3068: 3 +#3069: 3 +#3070: 3 +#3071: 3 +#3072: 3 +#3073: 3 +#3074: 3 +#3075: 3 +#3076: 3 +#3077: 3 +#3078: 3 +#3079: 3 +#3080: 3 +#3081: 3 +#3082: 3 +#3083: 3 +#3084: 3 +#3085: 3 +#3086: 3 +#3087: 3 +#3088: 3 +#3089: 3 +#3090: 3 +#3091: 3 +#3092: 3 +#3093: 3 +#3094: 3 +#3095: 3 +#3096: 3 +#3097: 3 +#3098: 3 +#3099: 3 +#3100: 3 +#3101: 3 +#3102: 3 +#3103: 3 +#3104: 3 +#3105: 3 +#3106: 3 +#3107: 3 +#3108: 3 +#3109: 3 +#3110: 3 +#3111: 3 +#3112: 3 +#3113: 3 +#3114: 3 +#3115: 3 +#3116: 3 +#3117: 3 +#3118: 3 +#3119: 3 +#3120: 3 +#3121: 3 +#3122: 3 +#3123: 3 +#3124: 3 +#3125: 3 +#3126: 3 +#3127: 3 +#3128: 3 +#3129: 3 +#3130: 3 +#3131: 3 +#3132: 3 +#3133: 3 +#3134: 3 +#3135: 3 +#3136: 3 +#3137: 3 +#3138: 3 +#3139: 3 +#3140: 3 +#3141: 3 +#3142: 3 +#3143: 3 +#3144: 3 +#3145: 3 +#3146: 3 +#3147: 3 +#3148: 3 +#3149: 3 +#3150: 3 +#3151: 3 +#3152: 3 +#3153: 3 +#3154: 3 +#3155: 3 +#3156: 3 +#3157: 3 +#3158: 3 +#3159: 3 +#3160: 3 +#3161: 3 +#3162: 3 +#3163: 3 +#3164: 3 +#3165: 3 +#3166: 3 +#3167: 3 +#3168: 3 +#3169: 3 +#3170: 3 +#3171: 3 +#3172: 3 +#3173: 3000000 +#3174: 3000000 +#3175: 3000000 +#3176: 3000000 +#3177: 3000000 +#3178: 3000000 +#3179: 3000000 +#3180: 3000000 +#3181: 3000000 +#3182: 3000000 +#3183: 3000000 +#3184: 3000000 +#3185: 3000000 +#3186: 3000000 +#3187: 3000000 +#3188: 3000000 +#3189: 3000000 +#3190: 3000000 +#3191: 3000000 +#3192: 3000000 +#3193: 3000000 +#3194: 3000000 +#3195: 3000000 +#3196: 3000000 +#3197: 3000000 +#3198: 3000000 +#3199: 3000000 +#3200: 3000000 +#3201: 3000000 +#3202: 3000000 +#3203: 3000000 +#3204: 3000000 +#3205: 3000000 +#3206: 3000000 +#3207: 3000000 +#3208: 3000000 +#3209: 3000000 +#3210: 3000000 +#3211: 3000000 +#3212: 3000000 +#3213: 3000000 +#3214: 3000000 +#3215: 3000000 +#3216: 3000000 +#3217: 3000000 +#3218: 3000000 +#3219: 3000000 +#3220: 3000000 +#3221: 3000000 +#3222: 3000000 +#3223: 3000000 +#3224: 3000000 +#3225: 3000000 +#3226: 3000000 +#3227: 3000000 +#3228: 3000000 +#3229: 3000000 +#3230: 3000000 +#3231: 3000000 +#3232: 3000000 +#3233: 3000000 +#3234: 3000000 +#3235: 3000000 +#3236: 3000000 +#3237: 3000000 +#3238: 3000000 +#3239: 3000000 +#3240: 3000000 +#3241: 3000000 +#3242: 3000000 +#3243: 3000000 +#3244: 3000000 +#3245: 3000000 +#3246: 3000000 +#3247: 3000000 +#3248: 3000000 +#3249: 3000000 +#3250: 3000000 +#3251: 3000000 +#3252: 3000000 +#3253: 3000000 +#3254: 3000000 +#3255: 3000000 +#3256: 3000000 +#3257: 3000000 +#3258: 3000000 +#3259: 3000000 +#3260: 3000000 +#3261: 3000000 +#3262: 3000000 +#3263: 3000000 +#3264: 3000000 +#3265: 3000000 +#3266: 3000000 +#3267: 3000000 +#3268: 3000000 +#3269: 3000000 +#3270: 3000000 +#3271: 3000000 +#3272: 3000000 +#3273: 3000000 +#3274: 3000000 +#3275: 3000000 +#3276: 3000000 +#3277: 3000000 +#3278: 3000000 +#3279: 3000000 +#3280: 3000000 +#3281: 3000000 +#3282: 3000000 +#3283: 3000000 +#3284: 3000000 +#3285: 3000000 +#3286: 3000000 +#3287: 3000000 +#3288: 3000000 +#3289: 3000000 +#3290: 3000000 +#3291: 3000000 +#3292: 3000000 +#3293: 3000000 +#3294: 3000000 +#3295: 3000000 +#3296: 3000000 +#3297: 3000000 +#3298: 3000000 +#3299: 3000000 +#3300: 3000000 +#3301: 3000000 +#3302: 3000000 +#3303: 3000000 +#3304: 3000000 +#3305: 3000000 +#3306: 3000000 +#3307: 3000000 +#3308: 3000000 +#3309: 3000000 +#3310: 3000000 +#3311: 3000000 +#3312: 3000000 +#3313: 3000000 +#3314: 3000000 +#3315: 3000000 +#3316: 3000000 +#3317: 3000000 +#3318: 3000000 +#3319: 3000000 +#3320: 3000000 +#3321: 3000000 +#3322: 3000000 +#3323: 3000000 +#3324: 3000000 +#3325: 3000000 +#3326: 3000000 +#3327: 3000000 +#3328: 3000000 +#3329: 3000000 +#3330: 3000000 +#3331: 3000000 +#3332: 3000000 +#3333: 3000000 +#3334: 3000000 +#3335: 3000000 +#3336: 3000000 +#3337: 3000000 +#3338: 3000000 +#3339: 3000000 +#3340: 3000000 +#3341: 3000000 +#3342: 3000000 +#3343: 3000000 +#3344: 3000000 +#3345: 3000000 +#3346: 3000000 +#3347: 3000000 +#3348: 3000000 +#3349: 3000000 +#3350: 3000000 +#3351: 3000000 +#3352: 3000000 +#3353: 3000000 +#3354: 3000000 +#3355: 3000000 +#3356: 3000000 +#3357: 3000000 +#3358: 3000000 +#3359: 3000000 +#3360: 3000000 +#3361: 3000000 +#3362: 3000000 +#3363: 3000000 +#3364: 3000000 +#3365: 3000000 +#3366: 3000000 +#3367: 3000000 +#3368: 3000000 +#3369: 3000000 +#3370: 3000000 +#3371: 3000000 +#3372: 3000000 +#3373: 3000000 +#3374: 3000000 +#3375: 3000000 +#3376: 3000000 +#3377: 3000000 +#3378: 3000000 +#3379: 3000000 +#3380: 3000000 +#3381: 3000000 +#3382: 3000000 +#3383: 3000000 +#3384: 3000000 +#3385: 3000000 +#3386: 3000000 +#3387: 3000000 +#3388: 3000000 +#3389: 3000000 +#3390: 3000000 +#3391: 3000000 +#3392: 3000000 +#3393: 3000000 +#3394: 3000000 +#3395: 3000000 +#3396: 3000000 +#3397: 3000000 +#3398: 3000000 +#3399: 3000000 +#3400: 3000000 +#3401: 3000000 +#3402: 3000000 +#3403: 3000000 +#3404: 3000000 +#3405: 3000000 +#3406: 3000000 +#3407: 3000000 +#3408: 3000000 +#3409: 3000000 +#3410: 3000000 +#3411: 3000000 +#3412: 3000000 +#3413: 3000000 +#3414: 3000000 +#3415: 3000000 +#3416: 3000000 +#3417: 3000000 +#3418: 3000000 +#3419: 3000000 +#3420: 3000000 +#3421: 3000000 +#3422: 3000000 +#3423: 3000000 +#3424: 3000000 +#3425: 3000000 +#3426: 3000000 +#3427: 3000000 +#3428: 3000000 +#3429: 1 +#3430: 1 +#3431: 1 +#3432: 1 +#3433: 1 +#3434: 1 +#3435: 1 +#3436: 1 +#3437: 1 +#3438: 1 +#3439: 1 +#3440: 1 +#3441: 1 +#3442: 1 +#3443: 1 +#3444: 1 +#3445: 1 +#3446: 1 +#3447: 1 +#3448: 1 +#3449: 1 +#3450: 1 +#3451: 1 +#3452: 1 +#3453: 1 +#3454: 1 +#3455: 1 +#3456: 1 +#3457: 1 +#3458: 1 +#3459: 1 +#3460: 1 +#3461: 1 +#3462: 1 +#3463: 1 +#3464: 1 +#3465: 1 +#3466: 1 +#3467: 1 +#3468: 1 +#3469: 1 +#3470: 1 +#3471: 1 +#3472: 1 +#3473: 1 +#3474: 1 +#3475: 1 +#3476: 1 +#3477: 1 +#3478: 1 +#3479: 1 +#3480: 1 +#3481: 1 +#3482: 1 +#3483: 1 +#3484: 1 +#3485: 1 +#3486: 1 +#3487: 1 +#3488: 1 +#3489: 1 +#3490: 1 +#3491: 1 +#3492: 1 +#3493: 1 +#3494: 1 +#3495: 1 +#3496: 1 +#3497: 1 +#3498: 1 +#3499: 1 +#3500: 1 +#3501: 1 +#3502: 1 +#3503: 1 +#3504: 1 +#3505: 1 +#3506: 1 +#3507: 1 +#3508: 1 +#3509: 1 +#3510: 1 +#3511: 1 +#3512: 1 +#3513: 1 +#3514: 1 +#3515: 1 +#3516: 1 +#3517: 1 +#3518: 1 +#3519: 1 +#3520: 1 +#3521: 1 +#3522: 1 +#3523: 1 +#3524: 1 +#3525: 1 +#3526: 1 +#3527: 1 +#3528: 1 +#3529: 1 +#3530: 1 +#3531: 1 +#3532: 1 +#3533: 1 +#3534: 1 +#3535: 1 +#3536: 1 +#3537: 1 +#3538: 1 +#3539: 1 +#3540: 1 +#3541: 1 +#3542: 1 +#3543: 1 +#3544: 1 +#3545: 1 +#3546: 1 +#3547: 1 +#3548: 1 +#3549: 1 +#3550: 1 +#3551: 1 +#3552: 1 +#3553: 1 +#3554: 1 +#3555: 1 +#3556: 1 +#3557: 1 +#3558: 1 +#3559: 1 +#3560: 1 +#3561: 1 +#3562: 1 +#3563: 1 +#3564: 1 +#3565: 1 +#3566: 1 +#3567: 1 +#3568: 1 +#3569: 1 +#3570: 1 +#3571: 1 +#3572: 1 +#3573: 1 +#3574: 1 +#3575: 1 +#3576: 1 +#3577: 1 +#3578: 1 +#3579: 1 +#3580: 1 +#3581: 1 +#3582: 1 +#3583: 1 +#3584: 1 +#3585: 1 +#3586: 1 +#3587: 1 +#3588: 1 +#3589: 1 +#3590: 1 +#3591: 1 +#3592: 1 +#3593: 1 +#3594: 1 +#3595: 1 +#3596: 1 +#3597: 1 +#3598: 1 +#3599: 1 +#3600: 1 +#3601: 1 +#3602: 1 +#3603: 1 +#3604: 1 +#3605: 1 +#3606: 1 +#3607: 1 +#3608: 1 +#3609: 1 +#3610: 1 +#3611: 1 +#3612: 1 +#3613: 1 +#3614: 1 +#3615: 1 +#3616: 1 +#3617: 1 +#3618: 1 +#3619: 1 +#3620: 1 +#3621: 1 +#3622: 1 +#3623: 1 +#3624: 1 +#3625: 1 +#3626: 1 +#3627: 1 +#3628: 1 +#3629: 1 +#3630: 1 +#3631: 1 +#3632: 1 +#3633: 1 +#3634: 1 +#3635: 1 +#3636: 1 +#3637: 1 +#3638: 1 +#3639: 1 +#3640: 1 +#3641: 1 +#3642: 1 +#3643: 1 +#3644: 1 +#3645: 1 +#3646: 1 +#3647: 1 +#3648: 1 +#3649: 1 +#3650: 1 +#3651: 1 +#3652: 1 +#3653: 1 +#3654: 1 +#3655: 1 +#3656: 1 +#3657: 1 +#3658: 1 +#3659: 1 +#3660: 1 +#3661: 1 +#3662: 1 +#3663: 1 +#3664: 1 +#3665: 1 +#3666: 1 +#3667: 1 +#3668: 1 +#3669: 1 +#3670: 1 +#3671: 1 +#3672: 1 +#3673: 1 +#3674: 1 +#3675: 1 +#3676: 1 +#3677: 1 +#3678: 1 +#3679: 1 +#3680: 1 +#3681: 1 +#3682: 1 +#3683: 1 +#3684: 1 +#3685: 1 +#3686: 1 +#3687: 1 +#3688: 1 +#3689: 1 +#3690: 1 +#3691: 1 +#3692: 1 +#3693: 1 +#3694: 1 +#3695: 1 +#3696: 1 +#3697: 1 +#3698: 1 +#3699: 1 +#3700: 1 +#3701: 1 +#3702: 1 +#3703: 1 +#3704: 1 +#3705: 1 +#3706: 1 +#3707: 1 +#3708: 1 +#3709: 1 +#3710: 1 +#3711: 1 +#3712: 1 +#3713: 1 +#3714: 1 +#3715: 1 +#3716: 1 +#3717: 1 +#3718: 1 +#3719: 1 +#3720: 1 +#3721: 1 +#3722: 1 +#3723: 1 +#3724: 1 +#3725: 1 +#3726: 1 +#3727: 1 +#3728: 1 +#3729: 1 +#3730: 1 +#3731: 1 +#3732: 1 +#3733: 1 +#3734: 1 +#3735: 1 +#3736: 1 +#3737: 1 +#3738: 1 +#3739: 1 +#3740: 1 +#3741: 1 +#3742: 1 +#3743: 1 +#3744: 1 +#3745: 1 +#3746: 1 +#3747: 1 +#3748: 1 +#3749: 1 +#3750: 1 +#3751: 1 +#3752: 1 +#3753: 1 +#3754: 1 +#3755: 1 +#3756: 1 +#3757: 1 +#3758: 1 +#3759: 1 +#3760: 1 +#3761: 1 +#3762: 1 +#3763: 1 +#3764: 1 +#3765: 1 +#3766: 1 +#3767: 1 +#3768: 1 +#3769: 1 +#3770: 1 +#3771: 1 +#3772: 1 +#3773: 1 +#3774: 1 +#3775: 1 +#3776: 1 +#3777: 1 +#3778: 1 +#3779: 1 +#3780: 1 +#3781: 1 +#3782: 1 +#3783: 1 +#3784: 1 +#3785: 1 +#3786: 1 +#3787: 1 +#3788: 1 +#3789: 1 +#3790: 1 +#3791: 1 +#3792: 1 +#3793: 1 +#3794: 1 +#3795: 1 +#3796: 1 +#3797: 1 +#3798: 1 +#3799: 1 +#3800: 1 +#3801: 1 +#3802: 1 +#3803: 1 +#3804: 1 +#3805: 1 +#3806: 1 +#3807: 1 +#3808: 1 +#3809: 1 +#3810: 1 +#3811: 1 +#3812: 1 +#3813: 1 +#3814: 1 +#3815: 1 +#3816: 1 +#3817: 1 +#3818: 1 +#3819: 1 +#3820: 1 +#3821: 1 +#3822: 1 +#3823: 1 +#3824: 1 +#3825: 1 +#3826: 1 +#3827: 1 +#3828: 1 +#3829: 1 +#3830: 1 +#3831: 1 +#3832: 1 +#3833: 1 +#3834: 1 +#3835: 1 +#3836: 1 +#3837: 1 +#3838: 1 +#3839: 1 +#3840: 1 +#3841: 1 +#3842: 1 +#3843: 1 +#3844: 1 +#3845: 1 +#3846: 1 +#3847: 1 +#3848: 1 +#3849: 1 +#3850: 1 +#3851: 1 +#3852: 1 +#3853: 1 +#3854: 1 +#3855: 1 +#3856: 1 +#3857: 1 +#3858: 1 +#3859: 1 +#3860: 1 +#3861: 1 +#3862: 1 +#3863: 1 +#3864: 1 +#3865: 1 +#3866: 1 +#3867: 1 +#3868: 1 +#3869: 1 +#3870: 1 +#3871: 1 +#3872: 1 +#3873: 1 +#3874: 1 +#3875: 1 +#3876: 1 +#3877: 1 +#3878: 1 +#3879: 1 +#3880: 1 +#3881: 1 +#3882: 1 +#3883: 1 +#3884: 1 +#3885: 1 +#3886: 1 +#3887: 1 +#3888: 1 +#3889: 1 +#3890: 1 +#3891: 1 +#3892: 1 +#3893: 1 +#3894: 1 +#3895: 1 +#3896: 1 +#3897: 1 +#3898: 1 +#3899: 1 +#3900: 1 +#3901: 1 +#3902: 1 +#3903: 1 +#3904: 1 +#3905: 1 +#3906: 1 +#3907: 1 +#3908: 1 +#3909: 1 +#3910: 1 +#3911: 1 +#3912: 1 +#3913: 1 +#3914: 1 +#3915: 1 +#3916: 1 +#3917: 1 +#3918: 1 +#3919: 1 +#3920: 1 +#3921: 1 +#3922: 1 +#3923: 1 +#3924: 1 +#3925: 1 +#3926: 1 +#3927: 1 +#3928: 1 +#3929: 1 +#3930: 1 +#3931: 1 +#3932: 1 +#3933: 1 +#3934: 1 +#3935: 1 +#3936: 1 +#3937: 1 +#3938: 1 +#3939: 1 +#3940: 1 +#3941: true (1) +#3942: true (1) +#3943: true (1) +#3944: true (1) +#3945: true (1) +#3946: true (1) +#3947: true (1) +#3948: true (1) +#3949: true (1) +#3950: true (1) +#3951: true (1) +#3952: true (1) +#3953: true (1) +#3954: true (1) +#3955: true (1) +#3956: true (1) +#3957: note (0) +#3958: note (0) +#3959: note (0) +#3960: note (0) +#3961: note (0) +#3962: note (0) +#3963: note (0) +#3964: note (0) +#3965: note (0) +#3966: note (0) +#3967: note (0) +#3968: note (0) +#3969: note (0) +#3970: note (0) +#3971: note (0) +#3972: note (0) +#3973: 3 +#3974: 3 +#3975: 3 +#3976: 3 +#3977: 3 +#3978: 3 +#3979: 3 +#3980: 3 +#3981: 3 +#3982: 3 +#3983: 3 +#3984: 3 +#3985: 3 +#3986: 3 +#3987: 3 +#3988: 3 +#3989: 3 +#3990: 3 +#3991: 3 +#3992: 3 +#3993: 3 +#3994: 3 +#3995: 3 +#3996: 3 +#3997: 3 +#3998: 3 +#3999: 3 +#4000: 3 +#4001: 3 +#4002: 3 +#4003: 3 +#4004: 3 +#4005: 3000000 +#4006: 3000000 +#4007: 3000000 +#4008: 3000000 +#4009: 3000000 +#4010: 3000000 +#4011: 3000000 +#4012: 3000000 +#4013: 3000000 +#4014: 3000000 +#4015: 3000000 +#4016: 3000000 +#4017: 3000000 +#4018: 3000000 +#4019: 3000000 +#4020: 3000000 +#4021: 1 +#4022: 1 +#4023: 1 +#4024: 1 +#4025: 1 +#4026: 1 +#4027: 1 +#4028: 1 +#4029: 1 +#4030: 1 +#4031: 1 +#4032: 1 +#4033: 1 +#4034: 1 +#4035: 1 +#4036: 1 +#4037: 1 +#4038: 1 +#4039: 1 +#4040: 1 +#4041: 1 +#4042: 1 +#4043: 1 +#4044: 1 +#4045: 1 +#4046: 1 +#4047: 1 +#4048: 1 +#4049: 1 +#4050: 1 +#4051: 1 +#4052: 1 +#4053: true (1) +#4054: true (1) +#4055: true (1) +#4056: true (1) +#4057: true (1) +#4058: true (1) +#4059: true (1) +#4060: true (1) +#4061: true (1) +#4062: true (1) +#4063: true (1) +#4064: true (1) +#4065: true (1) +#4066: true (1) +#4067: true (1) +#4068: true (1) +#4069: note (0) +#4070: note (0) +#4071: note (0) +#4072: note (0) +#4073: note (0) +#4074: note (0) +#4075: note (0) +#4076: note (0) +#4077: note (0) +#4078: note (0) +#4079: note (0) +#4080: note (0) +#4081: note (0) +#4082: note (0) +#4083: note (0) +#4084: note (0) +#4085: 3 +#4086: 3 +#4087: 3 +#4088: 3 +#4089: 3 +#4090: 3 +#4091: 3 +#4092: 3 +#4093: 3 +#4094: 3 +#4095: 3 +#4096: 3 +#4097: 3 +#4098: 3 +#4099: 3 +#4100: 3 +#4101: 3 +#4102: 3 +#4103: 3 +#4104: 3 +#4105: 3 +#4106: 3 +#4107: 3 +#4108: 3 +#4109: 3 +#4110: 3 +#4111: 3 +#4112: 3 +#4113: 3 +#4114: 3 +#4115: 3 +#4116: 3 +#4117: 3000000 +#4118: 3000000 +#4119: 3000000 +#4120: 3000000 +#4121: 3000000 +#4122: 3000000 +#4123: 3000000 +#4124: 3000000 +#4125: 3000000 +#4126: 3000000 +#4127: 3000000 +#4128: 3000000 +#4129: 3000000 +#4130: 3000000 +#4131: 3000000 +#4132: 3000000 +#4133: 1 +#4134: 1 +#4135: 1 +#4136: 1 +#4137: 1 +#4138: 1 +#4139: 1 +#4140: 1 +#4141: 1 +#4142: 1 +#4143: 1 +#4144: 1 +#4145: 1 +#4146: 1 +#4147: 1 +#4148: 1 +#4149: 1 +#4150: 1 +#4151: 1 +#4152: 1 +#4153: 1 +#4154: 1 +#4155: 1 +#4156: 1 +#4157: 1 +#4158: 1 +#4159: 1 +#4160: 1 +#4161: 1 +#4162: 1 +#4163: 1 +#4164: 1 +#4165: true (1) +#4166: true (1) +#4167: true (1) +#4168: true (1) +#4169: true (1) +#4170: true (1) +#4171: true (1) +#4172: true (1) +#4173: true (1) +#4174: true (1) +#4175: true (1) +#4176: true (1) +#4177: true (1) +#4178: true (1) +#4179: true (1) +#4180: true (1) +#4181: note (0) +#4182: note (0) +#4183: note (0) +#4184: note (0) +#4185: note (0) +#4186: note (0) +#4187: note (0) +#4188: note (0) +#4189: note (0) +#4190: note (0) +#4191: note (0) +#4192: note (0) +#4193: note (0) +#4194: note (0) +#4195: note (0) +#4196: note (0) +#4197: 3 +#4198: 3 +#4199: 3 +#4200: 3 +#4201: 3 +#4202: 3 +#4203: 3 +#4204: 3 +#4205: 3 +#4206: 3 +#4207: 3 +#4208: 3 +#4209: 3 +#4210: 3 +#4211: 3 +#4212: 3 +#4213: 3 +#4214: 3 +#4215: 3 +#4216: 3 +#4217: 3 +#4218: 3 +#4219: 3 +#4220: 3 +#4221: 3 +#4222: 3 +#4223: 3 +#4224: 3 +#4225: 3 +#4226: 3 +#4227: 3 +#4228: 3 +#4229: 3000000 +#4230: 3000000 +#4231: 3000000 +#4232: 3000000 +#4233: 3000000 +#4234: 3000000 +#4235: 3000000 +#4236: 3000000 +#4237: 3000000 +#4238: 3000000 +#4239: 3000000 +#4240: 3000000 +#4241: 3000000 +#4242: 3000000 +#4243: 3000000 +#4244: 3000000 +#4245: 1 +#4246: 1 +#4247: 1 +#4248: 1 +#4249: 1 +#4250: 1 +#4251: 1 +#4252: 1 +#4253: 1 +#4254: 1 +#4255: 1 +#4256: 1 +#4257: 1 +#4258: 1 +#4259: 1 +#4260: 1 +#4261: 1 +#4262: 1 +#4263: 1 +#4264: 1 +#4265: 1 +#4266: 1 +#4267: 1 +#4268: 1 +#4269: 1 +#4270: 1 +#4271: 1 +#4272: 1 +#4273: 1 +#4274: 1 +#4275: 1 +#4276: 1 +#4277: true (1) +#4278: true (1) +#4279: true (1) +#4280: true (1) +#4281: true (1) +#4282: true (1) +#4283: true (1) +#4284: true (1) +#4285: true (1) +#4286: true (1) +#4287: true (1) +#4288: true (1) +#4289: true (1) +#4290: true (1) +#4291: true (1) +#4292: true (1) +#4293: note (0) +#4294: note (0) +#4295: note (0) +#4296: note (0) +#4297: note (0) +#4298: note (0) +#4299: note (0) +#4300: note (0) +#4301: note (0) +#4302: note (0) +#4303: note (0) +#4304: note (0) +#4305: note (0) +#4306: note (0) +#4307: note (0) +#4308: note (0) +#4309: 3 +#4310: 3 +#4311: 3 +#4312: 3 +#4313: 3 +#4314: 3 +#4315: 3 +#4316: 3 +#4317: 3 +#4318: 3 +#4319: 3 +#4320: 3 +#4321: 3 +#4322: 3 +#4323: 3 +#4324: 3 +#4325: 3 +#4326: 3 +#4327: 3 +#4328: 3 +#4329: 3 +#4330: 3 +#4331: 3 +#4332: 3 +#4333: 3 +#4334: 3 +#4335: 3 +#4336: 3 +#4337: 3 +#4338: 3 +#4339: 3 +#4340: 3 +#4341: 3000000 +#4342: 3000000 +#4343: 3000000 +#4344: 3000000 +#4345: 3000000 +#4346: 3000000 +#4347: 3000000 +#4348: 3000000 +#4349: 3000000 +#4350: 3000000 +#4351: 3000000 +#4352: 3000000 +#4353: 3000000 +#4354: 3000000 +#4355: 3000000 +#4356: 3000000 +#4357: 1 +#4358: 1 +#4359: 1 +#4360: 1 +#4361: 1 +#4362: 1 +#4363: 1 +#4364: 1 +#4365: 1 +#4366: 1 +#4367: 1 +#4368: 1 +#4369: 1 +#4370: 1 +#4371: 1 +#4372: 1 +#4373: 1 +#4374: 1 +#4375: 1 +#4376: 1 +#4377: 1 +#4378: 1 +#4379: 1 +#4380: 1 +#4381: 1 +#4382: 1 +#4383: 1 +#4384: 1 +#4385: 1 +#4386: 1 +#4387: 1 +#4388: 1 +#4389: true (1) +#4390: '1' (1) +#4391: 's' (115) +#4392: note (0) +#4393: 3 +#4394: 3 +#4395: 3000000 +#4396: 1 +#4397: 1 +#4398: 's' (115) +#4399: 'h' (104) +#4400: 'i' (105) +#4401: 's' (115) +#4402: 'h' (104) +#4403: 'i' (105) +#4404: 'r' (114) +#4405: '0' (0) +#4406: '0' (0) +#4407: '1' (1) +#4408: '1' (1) +#4409: true (1) +#4410: true (1) +#4411: true (1) +#4412: true (1) +#4413: true (1) +#4414: true (1) +#4415: true (1) +#4416: true (1) +#4417: true (1) +#4418: true (1) +#4419: true (1) +#4420: true (1) +#4421: true (1) +#4422: true (1) +#4423: true (1) +#4424: true (1) +#4425: note (0) +#4426: note (0) +#4427: note (0) +#4428: note (0) +#4429: note (0) +#4430: note (0) +#4431: note (0) +#4432: note (0) +#4433: note (0) +#4434: note (0) +#4435: note (0) +#4436: note (0) +#4437: note (0) +#4438: note (0) +#4439: note (0) +#4440: note (0) +#4441: 3 +#4442: 3 +#4443: 3 +#4444: 3 +#4445: 3 +#4446: 3 +#4447: 3 +#4448: 3 +#4449: 3 +#4450: 3 +#4451: 3 +#4452: 3 +#4453: 3 +#4454: 3 +#4455: 3 +#4456: 3 +#4457: 3 +#4458: 3 +#4459: 3 +#4460: 3 +#4461: 3 +#4462: 3 +#4463: 3 +#4464: 3 +#4465: 3 +#4466: 3 +#4467: 3 +#4468: 3 +#4469: 3 +#4470: 3 +#4471: 3 +#4472: 3 +#4473: 3000000 +#4474: 3000000 +#4475: 3000000 +#4476: 3000000 +#4477: 3000000 +#4478: 3000000 +#4479: 3000000 +#4480: 3000000 +#4481: 3000000 +#4482: 3000000 +#4483: 3000000 +#4484: 3000000 +#4485: 3000000 +#4486: 3000000 +#4487: 3000000 +#4488: 3000000 +#4489: 1 +#4490: 1 +#4491: 1 +#4492: 1 +#4493: 1 +#4494: 1 +#4495: 1 +#4496: 1 +#4497: 1 +#4498: 1 +#4499: 1 +#4500: 1 +#4501: 1 +#4502: 1 +#4503: 1 +#4504: 1 +#4505: 1 +#4506: 1 +#4507: 1 +#4508: 1 +#4509: 1 +#4510: 1 +#4511: 1 +#4512: 1 +#4513: 1 +#4514: 1 +#4515: 1 +#4516: 1 +#4517: 1 +#4518: 1 +#4519: 1 +#4520: 1 +#4521: true (1) +#4522: true (1) +#4523: true (1) +#4524: true (1) +#4525: true (1) +#4526: true (1) +#4527: true (1) +#4528: true (1) +#4529: true (1) +#4530: true (1) +#4531: true (1) +#4532: true (1) +#4533: true (1) +#4534: true (1) +#4535: true (1) +#4536: true (1) +#4537: true (1) +#4538: true (1) +#4539: true (1) +#4540: true (1) +#4541: true (1) +#4542: true (1) +#4543: true (1) +#4544: true (1) +#4545: true (1) +#4546: true (1) +#4547: true (1) +#4548: true (1) +#4549: true (1) +#4550: true (1) +#4551: true (1) +#4552: true (1) +#4553: true (1) +#4554: true (1) +#4555: true (1) +#4556: true (1) +#4557: true (1) +#4558: true (1) +#4559: true (1) +#4560: true (1) +#4561: true (1) +#4562: true (1) +#4563: true (1) +#4564: true (1) +#4565: true (1) +#4566: true (1) +#4567: true (1) +#4568: true (1) +#4569: true (1) +#4570: true (1) +#4571: true (1) +#4572: true (1) +#4573: true (1) +#4574: true (1) +#4575: true (1) +#4576: true (1) +#4577: true (1) +#4578: true (1) +#4579: true (1) +#4580: true (1) +#4581: true (1) +#4582: true (1) +#4583: true (1) +#4584: true (1) +#4585: true (1) +#4586: true (1) +#4587: true (1) +#4588: true (1) +#4589: true (1) +#4590: true (1) +#4591: true (1) +#4592: true (1) +#4593: true (1) +#4594: true (1) +#4595: true (1) +#4596: true (1) +#4597: true (1) +#4598: true (1) +#4599: true (1) +#4600: true (1) +#4601: true (1) +#4602: true (1) +#4603: true (1) +#4604: true (1) +#4605: true (1) +#4606: true (1) +#4607: true (1) +#4608: true (1) +#4609: true (1) +#4610: true (1) +#4611: true (1) +#4612: true (1) +#4613: true (1) +#4614: true (1) +#4615: true (1) +#4616: true (1) +#4617: true (1) +#4618: true (1) +#4619: true (1) +#4620: true (1) +#4621: true (1) +#4622: true (1) +#4623: true (1) +#4624: true (1) +#4625: true (1) +#4626: true (1) +#4627: true (1) +#4628: true (1) +#4629: true (1) +#4630: true (1) +#4631: true (1) +#4632: true (1) +#4633: true (1) +#4634: true (1) +#4635: true (1) +#4636: true (1) +#4637: true (1) +#4638: true (1) +#4639: true (1) +#4640: true (1) +#4641: true (1) +#4642: true (1) +#4643: true (1) +#4644: true (1) +#4645: true (1) +#4646: true (1) +#4647: true (1) +#4648: true (1) +#4649: true (1) +#4650: true (1) +#4651: true (1) +#4652: true (1) +#4653: true (1) +#4654: true (1) +#4655: true (1) +#4656: true (1) +#4657: true (1) +#4658: true (1) +#4659: true (1) +#4660: true (1) +#4661: true (1) +#4662: true (1) +#4663: true (1) +#4664: true (1) +#4665: true (1) +#4666: true (1) +#4667: true (1) +#4668: true (1) +#4669: true (1) +#4670: true (1) +#4671: true (1) +#4672: true (1) +#4673: true (1) +#4674: true (1) +#4675: true (1) +#4676: true (1) +#4677: true (1) +#4678: true (1) +#4679: true (1) +#4680: true (1) +#4681: true (1) +#4682: true (1) +#4683: true (1) +#4684: true (1) +#4685: true (1) +#4686: true (1) +#4687: true (1) +#4688: true (1) +#4689: true (1) +#4690: true (1) +#4691: true (1) +#4692: true (1) +#4693: true (1) +#4694: true (1) +#4695: true (1) +#4696: true (1) +#4697: true (1) +#4698: true (1) +#4699: true (1) +#4700: true (1) +#4701: true (1) +#4702: true (1) +#4703: true (1) +#4704: true (1) +#4705: true (1) +#4706: true (1) +#4707: true (1) +#4708: true (1) +#4709: true (1) +#4710: true (1) +#4711: true (1) +#4712: true (1) +#4713: true (1) +#4714: true (1) +#4715: true (1) +#4716: true (1) +#4717: true (1) +#4718: true (1) +#4719: true (1) +#4720: true (1) +#4721: true (1) +#4722: true (1) +#4723: true (1) +#4724: true (1) +#4725: true (1) +#4726: true (1) +#4727: true (1) +#4728: true (1) +#4729: true (1) +#4730: true (1) +#4731: true (1) +#4732: true (1) +#4733: true (1) +#4734: true (1) +#4735: true (1) +#4736: true (1) +#4737: true (1) +#4738: true (1) +#4739: true (1) +#4740: true (1) +#4741: true (1) +#4742: true (1) +#4743: true (1) +#4744: true (1) +#4745: true (1) +#4746: true (1) +#4747: true (1) +#4748: true (1) +#4749: true (1) +#4750: true (1) +#4751: true (1) +#4752: true (1) +#4753: true (1) +#4754: true (1) +#4755: true (1) +#4756: true (1) +#4757: true (1) +#4758: true (1) +#4759: true (1) +#4760: true (1) +#4761: true (1) +#4762: true (1) +#4763: true (1) +#4764: true (1) +#4765: true (1) +#4766: true (1) +#4767: true (1) +#4768: true (1) +#4769: true (1) +#4770: true (1) +#4771: true (1) +#4772: true (1) +#4773: true (1) +#4774: true (1) +#4775: true (1) +#4776: true (1) +#4777: note (0) +#4778: note (0) +#4779: note (0) +#4780: note (0) +#4781: note (0) +#4782: note (0) +#4783: note (0) +#4784: note (0) +#4785: note (0) +#4786: note (0) +#4787: note (0) +#4788: note (0) +#4789: note (0) +#4790: note (0) +#4791: note (0) +#4792: note (0) +#4793: note (0) +#4794: note (0) +#4795: note (0) +#4796: note (0) +#4797: note (0) +#4798: note (0) +#4799: note (0) +#4800: note (0) +#4801: note (0) +#4802: note (0) +#4803: note (0) +#4804: note (0) +#4805: note (0) +#4806: note (0) +#4807: note (0) +#4808: note (0) +#4809: note (0) +#4810: note (0) +#4811: note (0) +#4812: note (0) +#4813: note (0) +#4814: note (0) +#4815: note (0) +#4816: note (0) +#4817: note (0) +#4818: note (0) +#4819: note (0) +#4820: note (0) +#4821: note (0) +#4822: note (0) +#4823: note (0) +#4824: note (0) +#4825: note (0) +#4826: note (0) +#4827: note (0) +#4828: note (0) +#4829: note (0) +#4830: note (0) +#4831: note (0) +#4832: note (0) +#4833: note (0) +#4834: note (0) +#4835: note (0) +#4836: note (0) +#4837: note (0) +#4838: note (0) +#4839: note (0) +#4840: note (0) +#4841: note (0) +#4842: note (0) +#4843: note (0) +#4844: note (0) +#4845: note (0) +#4846: note (0) +#4847: note (0) +#4848: note (0) +#4849: note (0) +#4850: note (0) +#4851: note (0) +#4852: note (0) +#4853: note (0) +#4854: note (0) +#4855: note (0) +#4856: note (0) +#4857: note (0) +#4858: note (0) +#4859: note (0) +#4860: note (0) +#4861: note (0) +#4862: note (0) +#4863: note (0) +#4864: note (0) +#4865: note (0) +#4866: note (0) +#4867: note (0) +#4868: note (0) +#4869: note (0) +#4870: note (0) +#4871: note (0) +#4872: note (0) +#4873: note (0) +#4874: note (0) +#4875: note (0) +#4876: note (0) +#4877: note (0) +#4878: note (0) +#4879: note (0) +#4880: note (0) +#4881: note (0) +#4882: note (0) +#4883: note (0) +#4884: note (0) +#4885: note (0) +#4886: note (0) +#4887: note (0) +#4888: note (0) +#4889: note (0) +#4890: note (0) +#4891: note (0) +#4892: note (0) +#4893: note (0) +#4894: note (0) +#4895: note (0) +#4896: note (0) +#4897: note (0) +#4898: note (0) +#4899: note (0) +#4900: note (0) +#4901: note (0) +#4902: note (0) +#4903: note (0) +#4904: note (0) +#4905: note (0) +#4906: note (0) +#4907: note (0) +#4908: note (0) +#4909: note (0) +#4910: note (0) +#4911: note (0) +#4912: note (0) +#4913: note (0) +#4914: note (0) +#4915: note (0) +#4916: note (0) +#4917: note (0) +#4918: note (0) +#4919: note (0) +#4920: note (0) +#4921: note (0) +#4922: note (0) +#4923: note (0) +#4924: note (0) +#4925: note (0) +#4926: note (0) +#4927: note (0) +#4928: note (0) +#4929: note (0) +#4930: note (0) +#4931: note (0) +#4932: note (0) +#4933: note (0) +#4934: note (0) +#4935: note (0) +#4936: note (0) +#4937: note (0) +#4938: note (0) +#4939: note (0) +#4940: note (0) +#4941: note (0) +#4942: note (0) +#4943: note (0) +#4944: note (0) +#4945: note (0) +#4946: note (0) +#4947: note (0) +#4948: note (0) +#4949: note (0) +#4950: note (0) +#4951: note (0) +#4952: note (0) +#4953: note (0) +#4954: note (0) +#4955: note (0) +#4956: note (0) +#4957: note (0) +#4958: note (0) +#4959: note (0) +#4960: note (0) +#4961: note (0) +#4962: note (0) +#4963: note (0) +#4964: note (0) +#4965: note (0) +#4966: note (0) +#4967: note (0) +#4968: note (0) +#4969: note (0) +#4970: note (0) +#4971: note (0) +#4972: note (0) +#4973: note (0) +#4974: note (0) +#4975: note (0) +#4976: note (0) +#4977: note (0) +#4978: note (0) +#4979: note (0) +#4980: note (0) +#4981: note (0) +#4982: note (0) +#4983: note (0) +#4984: note (0) +#4985: note (0) +#4986: note (0) +#4987: note (0) +#4988: note (0) +#4989: note (0) +#4990: note (0) +#4991: note (0) +#4992: note (0) +#4993: note (0) +#4994: note (0) +#4995: note (0) +#4996: note (0) +#4997: note (0) +#4998: note (0) +#4999: note (0) +#5000: note (0) +#5001: note (0) +#5002: note (0) +#5003: note (0) +#5004: note (0) +#5005: note (0) +#5006: note (0) +#5007: note (0) +#5008: note (0) +#5009: note (0) +#5010: note (0) +#5011: note (0) +#5012: note (0) +#5013: note (0) +#5014: note (0) +#5015: note (0) +#5016: note (0) +#5017: note (0) +#5018: note (0) +#5019: note (0) +#5020: note (0) +#5021: note (0) +#5022: note (0) +#5023: note (0) +#5024: note (0) +#5025: note (0) +#5026: note (0) +#5027: note (0) +#5028: note (0) +#5029: note (0) +#5030: note (0) +#5031: note (0) +#5032: note (0) +#5033: 3 +#5034: 3 +#5035: 3 +#5036: 3 +#5037: 3 +#5038: 3 +#5039: 3 +#5040: 3 +#5041: 3 +#5042: 3 +#5043: 3 +#5044: 3 +#5045: 3 +#5046: 3 +#5047: 3 +#5048: 3 +#5049: 3 +#5050: 3 +#5051: 3 +#5052: 3 +#5053: 3 +#5054: 3 +#5055: 3 +#5056: 3 +#5057: 3 +#5058: 3 +#5059: 3 +#5060: 3 +#5061: 3 +#5062: 3 +#5063: 3 +#5064: 3 +#5065: 3 +#5066: 3 +#5067: 3 +#5068: 3 +#5069: 3 +#5070: 3 +#5071: 3 +#5072: 3 +#5073: 3 +#5074: 3 +#5075: 3 +#5076: 3 +#5077: 3 +#5078: 3 +#5079: 3 +#5080: 3 +#5081: 3 +#5082: 3 +#5083: 3 +#5084: 3 +#5085: 3 +#5086: 3 +#5087: 3 +#5088: 3 +#5089: 3 +#5090: 3 +#5091: 3 +#5092: 3 +#5093: 3 +#5094: 3 +#5095: 3 +#5096: 3 +#5097: 3 +#5098: 3 +#5099: 3 +#5100: 3 +#5101: 3 +#5102: 3 +#5103: 3 +#5104: 3 +#5105: 3 +#5106: 3 +#5107: 3 +#5108: 3 +#5109: 3 +#5110: 3 +#5111: 3 +#5112: 3 +#5113: 3 +#5114: 3 +#5115: 3 +#5116: 3 +#5117: 3 +#5118: 3 +#5119: 3 +#5120: 3 +#5121: 3 +#5122: 3 +#5123: 3 +#5124: 3 +#5125: 3 +#5126: 3 +#5127: 3 +#5128: 3 +#5129: 3 +#5130: 3 +#5131: 3 +#5132: 3 +#5133: 3 +#5134: 3 +#5135: 3 +#5136: 3 +#5137: 3 +#5138: 3 +#5139: 3 +#5140: 3 +#5141: 3 +#5142: 3 +#5143: 3 +#5144: 3 +#5145: 3 +#5146: 3 +#5147: 3 +#5148: 3 +#5149: 3 +#5150: 3 +#5151: 3 +#5152: 3 +#5153: 3 +#5154: 3 +#5155: 3 +#5156: 3 +#5157: 3 +#5158: 3 +#5159: 3 +#5160: 3 +#5161: 3 +#5162: 3 +#5163: 3 +#5164: 3 +#5165: 3 +#5166: 3 +#5167: 3 +#5168: 3 +#5169: 3 +#5170: 3 +#5171: 3 +#5172: 3 +#5173: 3 +#5174: 3 +#5175: 3 +#5176: 3 +#5177: 3 +#5178: 3 +#5179: 3 +#5180: 3 +#5181: 3 +#5182: 3 +#5183: 3 +#5184: 3 +#5185: 3 +#5186: 3 +#5187: 3 +#5188: 3 +#5189: 3 +#5190: 3 +#5191: 3 +#5192: 3 +#5193: 3 +#5194: 3 +#5195: 3 +#5196: 3 +#5197: 3 +#5198: 3 +#5199: 3 +#5200: 3 +#5201: 3 +#5202: 3 +#5203: 3 +#5204: 3 +#5205: 3 +#5206: 3 +#5207: 3 +#5208: 3 +#5209: 3 +#5210: 3 +#5211: 3 +#5212: 3 +#5213: 3 +#5214: 3 +#5215: 3 +#5216: 3 +#5217: 3 +#5218: 3 +#5219: 3 +#5220: 3 +#5221: 3 +#5222: 3 +#5223: 3 +#5224: 3 +#5225: 3 +#5226: 3 +#5227: 3 +#5228: 3 +#5229: 3 +#5230: 3 +#5231: 3 +#5232: 3 +#5233: 3 +#5234: 3 +#5235: 3 +#5236: 3 +#5237: 3 +#5238: 3 +#5239: 3 +#5240: 3 +#5241: 3 +#5242: 3 +#5243: 3 +#5244: 3 +#5245: 3 +#5246: 3 +#5247: 3 +#5248: 3 +#5249: 3 +#5250: 3 +#5251: 3 +#5252: 3 +#5253: 3 +#5254: 3 +#5255: 3 +#5256: 3 +#5257: 3 +#5258: 3 +#5259: 3 +#5260: 3 +#5261: 3 +#5262: 3 +#5263: 3 +#5264: 3 +#5265: 3 +#5266: 3 +#5267: 3 +#5268: 3 +#5269: 3 +#5270: 3 +#5271: 3 +#5272: 3 +#5273: 3 +#5274: 3 +#5275: 3 +#5276: 3 +#5277: 3 +#5278: 3 +#5279: 3 +#5280: 3 +#5281: 3 +#5282: 3 +#5283: 3 +#5284: 3 +#5285: 3 +#5286: 3 +#5287: 3 +#5288: 3 +#5289: 3 +#5290: 3 +#5291: 3 +#5292: 3 +#5293: 3 +#5294: 3 +#5295: 3 +#5296: 3 +#5297: 3 +#5298: 3 +#5299: 3 +#5300: 3 +#5301: 3 +#5302: 3 +#5303: 3 +#5304: 3 +#5305: 3 +#5306: 3 +#5307: 3 +#5308: 3 +#5309: 3 +#5310: 3 +#5311: 3 +#5312: 3 +#5313: 3 +#5314: 3 +#5315: 3 +#5316: 3 +#5317: 3 +#5318: 3 +#5319: 3 +#5320: 3 +#5321: 3 +#5322: 3 +#5323: 3 +#5324: 3 +#5325: 3 +#5326: 3 +#5327: 3 +#5328: 3 +#5329: 3 +#5330: 3 +#5331: 3 +#5332: 3 +#5333: 3 +#5334: 3 +#5335: 3 +#5336: 3 +#5337: 3 +#5338: 3 +#5339: 3 +#5340: 3 +#5341: 3 +#5342: 3 +#5343: 3 +#5344: 3 +#5345: 3 +#5346: 3 +#5347: 3 +#5348: 3 +#5349: 3 +#5350: 3 +#5351: 3 +#5352: 3 +#5353: 3 +#5354: 3 +#5355: 3 +#5356: 3 +#5357: 3 +#5358: 3 +#5359: 3 +#5360: 3 +#5361: 3 +#5362: 3 +#5363: 3 +#5364: 3 +#5365: 3 +#5366: 3 +#5367: 3 +#5368: 3 +#5369: 3 +#5370: 3 +#5371: 3 +#5372: 3 +#5373: 3 +#5374: 3 +#5375: 3 +#5376: 3 +#5377: 3 +#5378: 3 +#5379: 3 +#5380: 3 +#5381: 3 +#5382: 3 +#5383: 3 +#5384: 3 +#5385: 3 +#5386: 3 +#5387: 3 +#5388: 3 +#5389: 3 +#5390: 3 +#5391: 3 +#5392: 3 +#5393: 3 +#5394: 3 +#5395: 3 +#5396: 3 +#5397: 3 +#5398: 3 +#5399: 3 +#5400: 3 +#5401: 3 +#5402: 3 +#5403: 3 +#5404: 3 +#5405: 3 +#5406: 3 +#5407: 3 +#5408: 3 +#5409: 3 +#5410: 3 +#5411: 3 +#5412: 3 +#5413: 3 +#5414: 3 +#5415: 3 +#5416: 3 +#5417: 3 +#5418: 3 +#5419: 3 +#5420: 3 +#5421: 3 +#5422: 3 +#5423: 3 +#5424: 3 +#5425: 3 +#5426: 3 +#5427: 3 +#5428: 3 +#5429: 3 +#5430: 3 +#5431: 3 +#5432: 3 +#5433: 3 +#5434: 3 +#5435: 3 +#5436: 3 +#5437: 3 +#5438: 3 +#5439: 3 +#5440: 3 +#5441: 3 +#5442: 3 +#5443: 3 +#5444: 3 +#5445: 3 +#5446: 3 +#5447: 3 +#5448: 3 +#5449: 3 +#5450: 3 +#5451: 3 +#5452: 3 +#5453: 3 +#5454: 3 +#5455: 3 +#5456: 3 +#5457: 3 +#5458: 3 +#5459: 3 +#5460: 3 +#5461: 3 +#5462: 3 +#5463: 3 +#5464: 3 +#5465: 3 +#5466: 3 +#5467: 3 +#5468: 3 +#5469: 3 +#5470: 3 +#5471: 3 +#5472: 3 +#5473: 3 +#5474: 3 +#5475: 3 +#5476: 3 +#5477: 3 +#5478: 3 +#5479: 3 +#5480: 3 +#5481: 3 +#5482: 3 +#5483: 3 +#5484: 3 +#5485: 3 +#5486: 3 +#5487: 3 +#5488: 3 +#5489: 3 +#5490: 3 +#5491: 3 +#5492: 3 +#5493: 3 +#5494: 3 +#5495: 3 +#5496: 3 +#5497: 3 +#5498: 3 +#5499: 3 +#5500: 3 +#5501: 3 +#5502: 3 +#5503: 3 +#5504: 3 +#5505: 3 +#5506: 3 +#5507: 3 +#5508: 3 +#5509: 3 +#5510: 3 +#5511: 3 +#5512: 3 +#5513: 3 +#5514: 3 +#5515: 3 +#5516: 3 +#5517: 3 +#5518: 3 +#5519: 3 +#5520: 3 +#5521: 3 +#5522: 3 +#5523: 3 +#5524: 3 +#5525: 3 +#5526: 3 +#5527: 3 +#5528: 3 +#5529: 3 +#5530: 3 +#5531: 3 +#5532: 3 +#5533: 3 +#5534: 3 +#5535: 3 +#5536: 3 +#5537: 3 +#5538: 3 +#5539: 3 +#5540: 3 +#5541: 3 +#5542: 3 +#5543: 3 +#5544: 3 +#5545: 3000000 +#5546: 3000000 +#5547: 3000000 +#5548: 3000000 +#5549: 3000000 +#5550: 3000000 +#5551: 3000000 +#5552: 3000000 +#5553: 3000000 +#5554: 3000000 +#5555: 3000000 +#5556: 3000000 +#5557: 3000000 +#5558: 3000000 +#5559: 3000000 +#5560: 3000000 +#5561: 3000000 +#5562: 3000000 +#5563: 3000000 +#5564: 3000000 +#5565: 3000000 +#5566: 3000000 +#5567: 3000000 +#5568: 3000000 +#5569: 3000000 +#5570: 3000000 +#5571: 3000000 +#5572: 3000000 +#5573: 3000000 +#5574: 3000000 +#5575: 3000000 +#5576: 3000000 +#5577: 3000000 +#5578: 3000000 +#5579: 3000000 +#5580: 3000000 +#5581: 3000000 +#5582: 3000000 +#5583: 3000000 +#5584: 3000000 +#5585: 3000000 +#5586: 3000000 +#5587: 3000000 +#5588: 3000000 +#5589: 3000000 +#5590: 3000000 +#5591: 3000000 +#5592: 3000000 +#5593: 3000000 +#5594: 3000000 +#5595: 3000000 +#5596: 3000000 +#5597: 3000000 +#5598: 3000000 +#5599: 3000000 +#5600: 3000000 +#5601: 3000000 +#5602: 3000000 +#5603: 3000000 +#5604: 3000000 +#5605: 3000000 +#5606: 3000000 +#5607: 3000000 +#5608: 3000000 +#5609: 3000000 +#5610: 3000000 +#5611: 3000000 +#5612: 3000000 +#5613: 3000000 +#5614: 3000000 +#5615: 3000000 +#5616: 3000000 +#5617: 3000000 +#5618: 3000000 +#5619: 3000000 +#5620: 3000000 +#5621: 3000000 +#5622: 3000000 +#5623: 3000000 +#5624: 3000000 +#5625: 3000000 +#5626: 3000000 +#5627: 3000000 +#5628: 3000000 +#5629: 3000000 +#5630: 3000000 +#5631: 3000000 +#5632: 3000000 +#5633: 3000000 +#5634: 3000000 +#5635: 3000000 +#5636: 3000000 +#5637: 3000000 +#5638: 3000000 +#5639: 3000000 +#5640: 3000000 +#5641: 3000000 +#5642: 3000000 +#5643: 3000000 +#5644: 3000000 +#5645: 3000000 +#5646: 3000000 +#5647: 3000000 +#5648: 3000000 +#5649: 3000000 +#5650: 3000000 +#5651: 3000000 +#5652: 3000000 +#5653: 3000000 +#5654: 3000000 +#5655: 3000000 +#5656: 3000000 +#5657: 3000000 +#5658: 3000000 +#5659: 3000000 +#5660: 3000000 +#5661: 3000000 +#5662: 3000000 +#5663: 3000000 +#5664: 3000000 +#5665: 3000000 +#5666: 3000000 +#5667: 3000000 +#5668: 3000000 +#5669: 3000000 +#5670: 3000000 +#5671: 3000000 +#5672: 3000000 +#5673: 3000000 +#5674: 3000000 +#5675: 3000000 +#5676: 3000000 +#5677: 3000000 +#5678: 3000000 +#5679: 3000000 +#5680: 3000000 +#5681: 3000000 +#5682: 3000000 +#5683: 3000000 +#5684: 3000000 +#5685: 3000000 +#5686: 3000000 +#5687: 3000000 +#5688: 3000000 +#5689: 3000000 +#5690: 3000000 +#5691: 3000000 +#5692: 3000000 +#5693: 3000000 +#5694: 3000000 +#5695: 3000000 +#5696: 3000000 +#5697: 3000000 +#5698: 3000000 +#5699: 3000000 +#5700: 3000000 +#5701: 3000000 +#5702: 3000000 +#5703: 3000000 +#5704: 3000000 +#5705: 3000000 +#5706: 3000000 +#5707: 3000000 +#5708: 3000000 +#5709: 3000000 +#5710: 3000000 +#5711: 3000000 +#5712: 3000000 +#5713: 3000000 +#5714: 3000000 +#5715: 3000000 +#5716: 3000000 +#5717: 3000000 +#5718: 3000000 +#5719: 3000000 +#5720: 3000000 +#5721: 3000000 +#5722: 3000000 +#5723: 3000000 +#5724: 3000000 +#5725: 3000000 +#5726: 3000000 +#5727: 3000000 +#5728: 3000000 +#5729: 3000000 +#5730: 3000000 +#5731: 3000000 +#5732: 3000000 +#5733: 3000000 +#5734: 3000000 +#5735: 3000000 +#5736: 3000000 +#5737: 3000000 +#5738: 3000000 +#5739: 3000000 +#5740: 3000000 +#5741: 3000000 +#5742: 3000000 +#5743: 3000000 +#5744: 3000000 +#5745: 3000000 +#5746: 3000000 +#5747: 3000000 +#5748: 3000000 +#5749: 3000000 +#5750: 3000000 +#5751: 3000000 +#5752: 3000000 +#5753: 3000000 +#5754: 3000000 +#5755: 3000000 +#5756: 3000000 +#5757: 3000000 +#5758: 3000000 +#5759: 3000000 +#5760: 3000000 +#5761: 3000000 +#5762: 3000000 +#5763: 3000000 +#5764: 3000000 +#5765: 3000000 +#5766: 3000000 +#5767: 3000000 +#5768: 3000000 +#5769: 3000000 +#5770: 3000000 +#5771: 3000000 +#5772: 3000000 +#5773: 3000000 +#5774: 3000000 +#5775: 3000000 +#5776: 3000000 +#5777: 3000000 +#5778: 3000000 +#5779: 3000000 +#5780: 3000000 +#5781: 3000000 +#5782: 3000000 +#5783: 3000000 +#5784: 3000000 +#5785: 3000000 +#5786: 3000000 +#5787: 3000000 +#5788: 3000000 +#5789: 3000000 +#5790: 3000000 +#5791: 3000000 +#5792: 3000000 +#5793: 3000000 +#5794: 3000000 +#5795: 3000000 +#5796: 3000000 +#5797: 3000000 +#5798: 3000000 +#5799: 3000000 +#5800: 3000000 +#5801: 1 +#5802: 1 +#5803: 1 +#5804: 1 +#5805: 1 +#5806: 1 +#5807: 1 +#5808: 1 +#5809: 1 +#5810: 1 +#5811: 1 +#5812: 1 +#5813: 1 +#5814: 1 +#5815: 1 +#5816: 1 +#5817: 1 +#5818: 1 +#5819: 1 +#5820: 1 +#5821: 1 +#5822: 1 +#5823: 1 +#5824: 1 +#5825: 1 +#5826: 1 +#5827: 1 +#5828: 1 +#5829: 1 +#5830: 1 +#5831: 1 +#5832: 1 +#5833: 1 +#5834: 1 +#5835: 1 +#5836: 1 +#5837: 1 +#5838: 1 +#5839: 1 +#5840: 1 +#5841: 1 +#5842: 1 +#5843: 1 +#5844: 1 +#5845: 1 +#5846: 1 +#5847: 1 +#5848: 1 +#5849: 1 +#5850: 1 +#5851: 1 +#5852: 1 +#5853: 1 +#5854: 1 +#5855: 1 +#5856: 1 +#5857: 1 +#5858: 1 +#5859: 1 +#5860: 1 +#5861: 1 +#5862: 1 +#5863: 1 +#5864: 1 +#5865: 1 +#5866: 1 +#5867: 1 +#5868: 1 +#5869: 1 +#5870: 1 +#5871: 1 +#5872: 1 +#5873: 1 +#5874: 1 +#5875: 1 +#5876: 1 +#5877: 1 +#5878: 1 +#5879: 1 +#5880: 1 +#5881: 1 +#5882: 1 +#5883: 1 +#5884: 1 +#5885: 1 +#5886: 1 +#5887: 1 +#5888: 1 +#5889: 1 +#5890: 1 +#5891: 1 +#5892: 1 +#5893: 1 +#5894: 1 +#5895: 1 +#5896: 1 +#5897: 1 +#5898: 1 +#5899: 1 +#5900: 1 +#5901: 1 +#5902: 1 +#5903: 1 +#5904: 1 +#5905: 1 +#5906: 1 +#5907: 1 +#5908: 1 +#5909: 1 +#5910: 1 +#5911: 1 +#5912: 1 +#5913: 1 +#5914: 1 +#5915: 1 +#5916: 1 +#5917: 1 +#5918: 1 +#5919: 1 +#5920: 1 +#5921: 1 +#5922: 1 +#5923: 1 +#5924: 1 +#5925: 1 +#5926: 1 +#5927: 1 +#5928: 1 +#5929: 1 +#5930: 1 +#5931: 1 +#5932: 1 +#5933: 1 +#5934: 1 +#5935: 1 +#5936: 1 +#5937: 1 +#5938: 1 +#5939: 1 +#5940: 1 +#5941: 1 +#5942: 1 +#5943: 1 +#5944: 1 +#5945: 1 +#5946: 1 +#5947: 1 +#5948: 1 +#5949: 1 +#5950: 1 +#5951: 1 +#5952: 1 +#5953: 1 +#5954: 1 +#5955: 1 +#5956: 1 +#5957: 1 +#5958: 1 +#5959: 1 +#5960: 1 +#5961: 1 +#5962: 1 +#5963: 1 +#5964: 1 +#5965: 1 +#5966: 1 +#5967: 1 +#5968: 1 +#5969: 1 +#5970: 1 +#5971: 1 +#5972: 1 +#5973: 1 +#5974: 1 +#5975: 1 +#5976: 1 +#5977: 1 +#5978: 1 +#5979: 1 +#5980: 1 +#5981: 1 +#5982: 1 +#5983: 1 +#5984: 1 +#5985: 1 +#5986: 1 +#5987: 1 +#5988: 1 +#5989: 1 +#5990: 1 +#5991: 1 +#5992: 1 +#5993: 1 +#5994: 1 +#5995: 1 +#5996: 1 +#5997: 1 +#5998: 1 +#5999: 1 +#6000: 1 +#6001: 1 +#6002: 1 +#6003: 1 +#6004: 1 +#6005: 1 +#6006: 1 +#6007: 1 +#6008: 1 +#6009: 1 +#6010: 1 +#6011: 1 +#6012: 1 +#6013: 1 +#6014: 1 +#6015: 1 +#6016: 1 +#6017: 1 +#6018: 1 +#6019: 1 +#6020: 1 +#6021: 1 +#6022: 1 +#6023: 1 +#6024: 1 +#6025: 1 +#6026: 1 +#6027: 1 +#6028: 1 +#6029: 1 +#6030: 1 +#6031: 1 +#6032: 1 +#6033: 1 +#6034: 1 +#6035: 1 +#6036: 1 +#6037: 1 +#6038: 1 +#6039: 1 +#6040: 1 +#6041: 1 +#6042: 1 +#6043: 1 +#6044: 1 +#6045: 1 +#6046: 1 +#6047: 1 +#6048: 1 +#6049: 1 +#6050: 1 +#6051: 1 +#6052: 1 +#6053: 1 +#6054: 1 +#6055: 1 +#6056: 1 +#6057: 1 +#6058: 1 +#6059: 1 +#6060: 1 +#6061: 1 +#6062: 1 +#6063: 1 +#6064: 1 +#6065: 1 +#6066: 1 +#6067: 1 +#6068: 1 +#6069: 1 +#6070: 1 +#6071: 1 +#6072: 1 +#6073: 1 +#6074: 1 +#6075: 1 +#6076: 1 +#6077: 1 +#6078: 1 +#6079: 1 +#6080: 1 +#6081: 1 +#6082: 1 +#6083: 1 +#6084: 1 +#6085: 1 +#6086: 1 +#6087: 1 +#6088: 1 +#6089: 1 +#6090: 1 +#6091: 1 +#6092: 1 +#6093: 1 +#6094: 1 +#6095: 1 +#6096: 1 +#6097: 1 +#6098: 1 +#6099: 1 +#6100: 1 +#6101: 1 +#6102: 1 +#6103: 1 +#6104: 1 +#6105: 1 +#6106: 1 +#6107: 1 +#6108: 1 +#6109: 1 +#6110: 1 +#6111: 1 +#6112: 1 +#6113: 1 +#6114: 1 +#6115: 1 +#6116: 1 +#6117: 1 +#6118: 1 +#6119: 1 +#6120: 1 +#6121: 1 +#6122: 1 +#6123: 1 +#6124: 1 +#6125: 1 +#6126: 1 +#6127: 1 +#6128: 1 +#6129: 1 +#6130: 1 +#6131: 1 +#6132: 1 +#6133: 1 +#6134: 1 +#6135: 1 +#6136: 1 +#6137: 1 +#6138: 1 +#6139: 1 +#6140: 1 +#6141: 1 +#6142: 1 +#6143: 1 +#6144: 1 +#6145: 1 +#6146: 1 +#6147: 1 +#6148: 1 +#6149: 1 +#6150: 1 +#6151: 1 +#6152: 1 +#6153: 1 +#6154: 1 +#6155: 1 +#6156: 1 +#6157: 1 +#6158: 1 +#6159: 1 +#6160: 1 +#6161: 1 +#6162: 1 +#6163: 1 +#6164: 1 +#6165: 1 +#6166: 1 +#6167: 1 +#6168: 1 +#6169: 1 +#6170: 1 +#6171: 1 +#6172: 1 +#6173: 1 +#6174: 1 +#6175: 1 +#6176: 1 +#6177: 1 +#6178: 1 +#6179: 1 +#6180: 1 +#6181: 1 +#6182: 1 +#6183: 1 +#6184: 1 +#6185: 1 +#6186: 1 +#6187: 1 +#6188: 1 +#6189: 1 +#6190: 1 +#6191: 1 +#6192: 1 +#6193: 1 +#6194: 1 +#6195: 1 +#6196: 1 +#6197: 1 +#6198: 1 +#6199: 1 +#6200: 1 +#6201: 1 +#6202: 1 +#6203: 1 +#6204: 1 +#6205: 1 +#6206: 1 +#6207: 1 +#6208: 1 +#6209: 1 +#6210: 1 +#6211: 1 +#6212: 1 +#6213: 1 +#6214: 1 +#6215: 1 +#6216: 1 +#6217: 1 +#6218: 1 +#6219: 1 +#6220: 1 +#6221: 1 +#6222: 1 +#6223: 1 +#6224: 1 +#6225: 1 +#6226: 1 +#6227: 1 +#6228: 1 +#6229: 1 +#6230: 1 +#6231: 1 +#6232: 1 +#6233: 1 +#6234: 1 +#6235: 1 +#6236: 1 +#6237: 1 +#6238: 1 +#6239: 1 +#6240: 1 +#6241: 1 +#6242: 1 +#6243: 1 +#6244: 1 +#6245: 1 +#6246: 1 +#6247: 1 +#6248: 1 +#6249: 1 +#6250: 1 +#6251: 1 +#6252: 1 +#6253: 1 +#6254: 1 +#6255: 1 +#6256: 1 +#6257: 1 +#6258: 1 +#6259: 1 +#6260: 1 +#6261: 1 +#6262: 1 +#6263: 1 +#6264: 1 +#6265: 1 +#6266: 1 +#6267: 1 +#6268: 1 +#6269: 1 +#6270: 1 +#6271: 1 +#6272: 1 +#6273: 1 +#6274: 1 +#6275: 1 +#6276: 1 +#6277: 1 +#6278: 1 +#6279: 1 +#6280: 1 +#6281: 1 +#6282: 1 +#6283: 1 +#6284: 1 +#6285: 1 +#6286: 1 +#6287: 1 +#6288: 1 +#6289: 1 +#6290: 1 +#6291: 1 +#6292: 1 +#6293: 1 +#6294: 1 +#6295: 1 +#6296: 1 +#6297: 1 +#6298: 1 +#6299: 1 +#6300: 1 +#6301: 1 +#6302: 1 +#6303: 1 +#6304: 1 +#6305: 1 +#6306: 1 +#6307: 1 +#6308: 1 +#6309: 1 +#6310: 1 +#6311: 1 +#6312: 1 +#6313: true (1) +#6314: true (1) +#6315: true (1) +#6316: true (1) +#6317: true (1) +#6318: true (1) +#6319: true (1) +#6320: true (1) +#6321: true (1) +#6322: true (1) +#6323: true (1) +#6324: true (1) +#6325: true (1) +#6326: true (1) +#6327: true (1) +#6328: true (1) +#6329: note (0) +#6330: note (0) +#6331: note (0) +#6332: note (0) +#6333: note (0) +#6334: note (0) +#6335: note (0) +#6336: note (0) +#6337: note (0) +#6338: note (0) +#6339: note (0) +#6340: note (0) +#6341: note (0) +#6342: note (0) +#6343: note (0) +#6344: note (0) +#6345: 3 +#6346: 3 +#6347: 3 +#6348: 3 +#6349: 3 +#6350: 3 +#6351: 3 +#6352: 3 +#6353: 3 +#6354: 3 +#6355: 3 +#6356: 3 +#6357: 3 +#6358: 3 +#6359: 3 +#6360: 3 +#6361: 3 +#6362: 3 +#6363: 3 +#6364: 3 +#6365: 3 +#6366: 3 +#6367: 3 +#6368: 3 +#6369: 3 +#6370: 3 +#6371: 3 +#6372: 3 +#6373: 3 +#6374: 3 +#6375: 3 +#6376: 3 +#6377: 3000000 +#6378: 3000000 +#6379: 3000000 +#6380: 3000000 +#6381: 3000000 +#6382: 3000000 +#6383: 3000000 +#6384: 3000000 +#6385: 3000000 +#6386: 3000000 +#6387: 3000000 +#6388: 3000000 +#6389: 3000000 +#6390: 3000000 +#6391: 3000000 +#6392: 3000000 +#6393: 1 +#6394: 1 +#6395: 1 +#6396: 1 +#6397: 1 +#6398: 1 +#6399: 1 +#6400: 1 +#6401: 1 +#6402: 1 +#6403: 1 +#6404: 1 +#6405: 1 +#6406: 1 +#6407: 1 +#6408: 1 +#6409: 1 +#6410: 1 +#6411: 1 +#6412: 1 +#6413: 1 +#6414: 1 +#6415: 1 +#6416: 1 +#6417: 1 +#6418: 1 +#6419: 1 +#6420: 1 +#6421: 1 +#6422: 1 +#6423: 1 +#6424: 1 +#6425: true (1) +#6426: true (1) +#6427: true (1) +#6428: true (1) +#6429: true (1) +#6430: true (1) +#6431: true (1) +#6432: true (1) +#6433: true (1) +#6434: true (1) +#6435: true (1) +#6436: true (1) +#6437: true (1) +#6438: true (1) +#6439: true (1) +#6440: true (1) +#6441: note (0) +#6442: note (0) +#6443: note (0) +#6444: note (0) +#6445: note (0) +#6446: note (0) +#6447: note (0) +#6448: note (0) +#6449: note (0) +#6450: note (0) +#6451: note (0) +#6452: note (0) +#6453: note (0) +#6454: note (0) +#6455: note (0) +#6456: note (0) +#6457: 3 +#6458: 3 +#6459: 3 +#6460: 3 +#6461: 3 +#6462: 3 +#6463: 3 +#6464: 3 +#6465: 3 +#6466: 3 +#6467: 3 +#6468: 3 +#6469: 3 +#6470: 3 +#6471: 3 +#6472: 3 +#6473: 3 +#6474: 3 +#6475: 3 +#6476: 3 +#6477: 3 +#6478: 3 +#6479: 3 +#6480: 3 +#6481: 3 +#6482: 3 +#6483: 3 +#6484: 3 +#6485: 3 +#6486: 3 +#6487: 3 +#6488: 3 +#6489: 3000000 +#6490: 3000000 +#6491: 3000000 +#6492: 3000000 +#6493: 3000000 +#6494: 3000000 +#6495: 3000000 +#6496: 3000000 +#6497: 3000000 +#6498: 3000000 +#6499: 3000000 +#6500: 3000000 +#6501: 3000000 +#6502: 3000000 +#6503: 3000000 +#6504: 3000000 +#6505: 1 +#6506: 1 +#6507: 1 +#6508: 1 +#6509: 1 +#6510: 1 +#6511: 1 +#6512: 1 +#6513: 1 +#6514: 1 +#6515: 1 +#6516: 1 +#6517: 1 +#6518: 1 +#6519: 1 +#6520: 1 +#6521: 1 +#6522: 1 +#6523: 1 +#6524: 1 +#6525: 1 +#6526: 1 +#6527: 1 +#6528: 1 +#6529: 1 +#6530: 1 +#6531: 1 +#6532: 1 +#6533: 1 +#6534: 1 +#6535: 1 +#6536: 1 +#6537: true (1) +#6538: true (1) +#6539: true (1) +#6540: true (1) +#6541: true (1) +#6542: true (1) +#6543: true (1) +#6544: true (1) +#6545: true (1) +#6546: true (1) +#6547: true (1) +#6548: true (1) +#6549: true (1) +#6550: true (1) +#6551: true (1) +#6552: true (1) +#6553: note (0) +#6554: note (0) +#6555: note (0) +#6556: note (0) +#6557: note (0) +#6558: note (0) +#6559: note (0) +#6560: note (0) +#6561: note (0) +#6562: note (0) +#6563: note (0) +#6564: note (0) +#6565: note (0) +#6566: note (0) +#6567: note (0) +#6568: note (0) +#6569: 3 +#6570: 3 +#6571: 3 +#6572: 3 +#6573: 3 +#6574: 3 +#6575: 3 +#6576: 3 +#6577: 3 +#6578: 3 +#6579: 3 +#6580: 3 +#6581: 3 +#6582: 3 +#6583: 3 +#6584: 3 +#6585: 3 +#6586: 3 +#6587: 3 +#6588: 3 +#6589: 3 +#6590: 3 +#6591: 3 +#6592: 3 +#6593: 3 +#6594: 3 +#6595: 3 +#6596: 3 +#6597: 3 +#6598: 3 +#6599: 3 +#6600: 3 +#6601: 3000000 +#6602: 3000000 +#6603: 3000000 +#6604: 3000000 +#6605: 3000000 +#6606: 3000000 +#6607: 3000000 +#6608: 3000000 +#6609: 3000000 +#6610: 3000000 +#6611: 3000000 +#6612: 3000000 +#6613: 3000000 +#6614: 3000000 +#6615: 3000000 +#6616: 3000000 +#6617: 1 +#6618: 1 +#6619: 1 +#6620: 1 +#6621: 1 +#6622: 1 +#6623: 1 +#6624: 1 +#6625: 1 +#6626: 1 +#6627: 1 +#6628: 1 +#6629: 1 +#6630: 1 +#6631: 1 +#6632: 1 +#6633: 1 +#6634: 1 +#6635: 1 +#6636: 1 +#6637: 1 +#6638: 1 +#6639: 1 +#6640: 1 +#6641: 1 +#6642: 1 +#6643: 1 +#6644: 1 +#6645: 1 +#6646: 1 +#6647: 1 +#6648: 1 +#6649: true (1) +#6650: true (1) +#6651: true (1) +#6652: true (1) +#6653: true (1) +#6654: true (1) +#6655: true (1) +#6656: true (1) +#6657: true (1) +#6658: true (1) +#6659: true (1) +#6660: true (1) +#6661: true (1) +#6662: true (1) +#6663: true (1) +#6664: true (1) +#6665: note (0) +#6666: note (0) +#6667: note (0) +#6668: note (0) +#6669: note (0) +#6670: note (0) +#6671: note (0) +#6672: note (0) +#6673: note (0) +#6674: note (0) +#6675: note (0) +#6676: note (0) +#6677: note (0) +#6678: note (0) +#6679: note (0) +#6680: note (0) +#6681: 3 +#6682: 3 +#6683: 3 +#6684: 3 +#6685: 3 +#6686: 3 +#6687: 3 +#6688: 3 +#6689: 3 +#6690: 3 +#6691: 3 +#6692: 3 +#6693: 3 +#6694: 3 +#6695: 3 +#6696: 3 +#6697: 3 +#6698: 3 +#6699: 3 +#6700: 3 +#6701: 3 +#6702: 3 +#6703: 3 +#6704: 3 +#6705: 3 +#6706: 3 +#6707: 3 +#6708: 3 +#6709: 3 +#6710: 3 +#6711: 3 +#6712: 3 +#6713: 3000000 +#6714: 3000000 +#6715: 3000000 +#6716: 3000000 +#6717: 3000000 +#6718: 3000000 +#6719: 3000000 +#6720: 3000000 +#6721: 3000000 +#6722: 3000000 +#6723: 3000000 +#6724: 3000000 +#6725: 3000000 +#6726: 3000000 +#6727: 3000000 +#6728: 3000000 +#6729: 1 +#6730: 1 +#6731: 1 +#6732: 1 +#6733: 1 +#6734: 1 +#6735: 1 +#6736: 1 +#6737: 1 +#6738: 1 +#6739: 1 +#6740: 1 +#6741: 1 +#6742: 1 +#6743: 1 +#6744: 1 +#6745: 1 +#6746: 1 +#6747: 1 +#6748: 1 +#6749: 1 +#6750: 1 +#6751: 1 +#6752: 1 +#6753: 1 +#6754: 1 +#6755: 1 +#6756: 1 +#6757: 1 +#6758: 1 +#6759: 1 +#6760: 1 +#6761: true (1) +#6762: true (1) +#6763: true (1) +#6764: true (1) +#6765: true (1) +#6766: true (1) +#6767: true (1) +#6768: true (1) +#6769: true (1) +#6770: true (1) +#6771: true (1) +#6772: true (1) +#6773: true (1) +#6774: true (1) +#6775: true (1) +#6776: true (1) +#6777: note (0) +#6778: note (0) +#6779: note (0) +#6780: note (0) +#6781: note (0) +#6782: note (0) +#6783: note (0) +#6784: note (0) +#6785: note (0) +#6786: note (0) +#6787: note (0) +#6788: note (0) +#6789: note (0) +#6790: note (0) +#6791: note (0) +#6792: note (0) +#6793: 3 +#6794: 3 +#6795: 3 +#6796: 3 +#6797: 3 +#6798: 3 +#6799: 3 +#6800: 3 +#6801: 3 +#6802: 3 +#6803: 3 +#6804: 3 +#6805: 3 +#6806: 3 +#6807: 3 +#6808: 3 +#6809: 3 +#6810: 3 +#6811: 3 +#6812: 3 +#6813: 3 +#6814: 3 +#6815: 3 +#6816: 3 +#6817: 3 +#6818: 3 +#6819: 3 +#6820: 3 +#6821: 3 +#6822: 3 +#6823: 3 +#6824: 3 +#6825: 3000000 +#6826: 3000000 +#6827: 3000000 +#6828: 3000000 +#6829: 3000000 +#6830: 3000000 +#6831: 3000000 +#6832: 3000000 +#6833: 3000000 +#6834: 3000000 +#6835: 3000000 +#6836: 3000000 +#6837: 3000000 +#6838: 3000000 +#6839: 3000000 +#6840: 3000000 +#6841: 1 +#6842: 1 +#6843: 1 +#6844: 1 +#6845: 1 +#6846: 1 +#6847: 1 +#6848: 1 +#6849: 1 +#6850: 1 +#6851: 1 +#6852: 1 +#6853: 1 +#6854: 1 +#6855: 1 +#6856: 1 +#6857: 1 +#6858: 1 +#6859: 1 +#6860: 1 +#6861: 1 +#6862: 1 +#6863: 1 +#6864: 1 +#6865: 1 +#6866: 1 +#6867: 1 +#6868: 1 +#6869: 1 +#6870: 1 +#6871: 1 +#6872: 1 +#6873: true (1) +#6874: true (1) +#6875: true (1) +#6876: true (1) +#6877: true (1) +#6878: true (1) +#6879: true (1) +#6880: true (1) +#6881: true (1) +#6882: true (1) +#6883: true (1) +#6884: true (1) +#6885: true (1) +#6886: true (1) +#6887: true (1) +#6888: true (1) +#6889: note (0) +#6890: note (0) +#6891: note (0) +#6892: note (0) +#6893: note (0) +#6894: note (0) +#6895: note (0) +#6896: note (0) +#6897: note (0) +#6898: note (0) +#6899: note (0) +#6900: note (0) +#6901: note (0) +#6902: note (0) +#6903: note (0) +#6904: note (0) +#6905: 3 +#6906: 3 +#6907: 3 +#6908: 3 +#6909: 3 +#6910: 3 +#6911: 3 +#6912: 3 +#6913: 3 +#6914: 3 +#6915: 3 +#6916: 3 +#6917: 3 +#6918: 3 +#6919: 3 +#6920: 3 +#6921: 3 +#6922: 3 +#6923: 3 +#6924: 3 +#6925: 3 +#6926: 3 +#6927: 3 +#6928: 3 +#6929: 3 +#6930: 3 +#6931: 3 +#6932: 3 +#6933: 3 +#6934: 3 +#6935: 3 +#6936: 3 +#6937: 3000000 +#6938: 3000000 +#6939: 3000000 +#6940: 3000000 +#6941: 3000000 +#6942: 3000000 +#6943: 3000000 +#6944: 3000000 +#6945: 3000000 +#6946: 3000000 +#6947: 3000000 +#6948: 3000000 +#6949: 3000000 +#6950: 3000000 +#6951: 3000000 +#6952: 3000000 +#6953: 1 +#6954: 1 +#6955: 1 +#6956: 1 +#6957: 1 +#6958: 1 +#6959: 1 +#6960: 1 +#6961: 1 +#6962: 1 +#6963: 1 +#6964: 1 +#6965: 1 +#6966: 1 +#6967: 1 +#6968: 1 +#6969: 1 +#6970: 1 +#6971: 1 +#6972: 1 +#6973: 1 +#6974: 1 +#6975: 1 +#6976: 1 +#6977: 1 +#6978: 1 +#6979: 1 +#6980: 1 +#6981: 1 +#6982: 1 +#6983: 1 +#6984: 1 +#6985: true (1) +#6986: true (1) +#6987: true (1) +#6988: true (1) +#6989: true (1) +#6990: true (1) +#6991: true (1) +#6992: true (1) +#6993: true (1) +#6994: true (1) +#6995: true (1) +#6996: true (1) +#6997: true (1) +#6998: true (1) +#6999: true (1) +#7000: true (1) +#7001: note (0) +#7002: note (0) +#7003: note (0) +#7004: note (0) +#7005: note (0) +#7006: note (0) +#7007: note (0) +#7008: note (0) +#7009: note (0) +#7010: note (0) +#7011: note (0) +#7012: note (0) +#7013: note (0) +#7014: note (0) +#7015: note (0) +#7016: note (0) +#7017: 3 +#7018: 3 +#7019: 3 +#7020: 3 +#7021: 3 +#7022: 3 +#7023: 3 +#7024: 3 +#7025: 3 +#7026: 3 +#7027: 3 +#7028: 3 +#7029: 3 +#7030: 3 +#7031: 3 +#7032: 3 +#7033: 3 +#7034: 3 +#7035: 3 +#7036: 3 +#7037: 3 +#7038: 3 +#7039: 3 +#7040: 3 +#7041: 3 +#7042: 3 +#7043: 3 +#7044: 3 +#7045: 3 +#7046: 3 +#7047: 3 +#7048: 3 +#7049: 3000000 +#7050: 3000000 +#7051: 3000000 +#7052: 3000000 +#7053: 3000000 +#7054: 3000000 +#7055: 3000000 +#7056: 3000000 +#7057: 3000000 +#7058: 3000000 +#7059: 3000000 +#7060: 3000000 +#7061: 3000000 +#7062: 3000000 +#7063: 3000000 +#7064: 3000000 +#7065: 1 +#7066: 1 +#7067: 1 +#7068: 1 +#7069: 1 +#7070: 1 +#7071: 1 +#7072: 1 +#7073: 1 +#7074: 1 +#7075: 1 +#7076: 1 +#7077: 1 +#7078: 1 +#7079: 1 +#7080: 1 +#7081: 1 +#7082: 1 +#7083: 1 +#7084: 1 +#7085: 1 +#7086: 1 +#7087: 1 +#7088: 1 +#7089: 1 +#7090: 1 +#7091: 1 +#7092: 1 +#7093: 1 +#7094: 1 +#7095: 1 +#7096: 1 +#7097: true (1) +#7098: true (1) +#7099: true (1) +#7100: true (1) +#7101: true (1) +#7102: true (1) +#7103: true (1) +#7104: true (1) +#7105: true (1) +#7106: true (1) +#7107: true (1) +#7108: true (1) +#7109: true (1) +#7110: true (1) +#7111: true (1) +#7112: true (1) +#7113: note (0) +#7114: note (0) +#7115: note (0) +#7116: note (0) +#7117: note (0) +#7118: note (0) +#7119: note (0) +#7120: note (0) +#7121: note (0) +#7122: note (0) +#7123: note (0) +#7124: note (0) +#7125: note (0) +#7126: note (0) +#7127: note (0) +#7128: note (0) +#7129: 3 +#7130: 3 +#7131: 3 +#7132: 3 +#7133: 3 +#7134: 3 +#7135: 3 +#7136: 3 +#7137: 3 +#7138: 3 +#7139: 3 +#7140: 3 +#7141: 3 +#7142: 3 +#7143: 3 +#7144: 3 +#7145: 3 +#7146: 3 +#7147: 3 +#7148: 3 +#7149: 3 +#7150: 3 +#7151: 3 +#7152: 3 +#7153: 3 +#7154: 3 +#7155: 3 +#7156: 3 +#7157: 3 +#7158: 3 +#7159: 3 +#7160: 3 +#7161: 3000000 +#7162: 3000000 +#7163: 3000000 +#7164: 3000000 +#7165: 3000000 +#7166: 3000000 +#7167: 3000000 +#7168: 3000000 +#7169: 3000000 +#7170: 3000000 +#7171: 3000000 +#7172: 3000000 +#7173: 3000000 +#7174: 3000000 +#7175: 3000000 +#7176: 3000000 +#7177: 1 +#7178: 1 +#7179: 1 +#7180: 1 +#7181: 1 +#7182: 1 +#7183: 1 +#7184: 1 +#7185: 1 +#7186: 1 +#7187: 1 +#7188: 1 +#7189: 1 +#7190: 1 +#7191: 1 +#7192: 1 +#7193: 1 +#7194: 1 +#7195: 1 +#7196: 1 +#7197: 1 +#7198: 1 +#7199: 1 +#7200: 1 +#7201: 1 +#7202: 1 +#7203: 1 +#7204: 1 +#7205: 1 +#7206: 1 +#7207: 1 +#7208: 1 +#7209: true (1) +#7210: true (1) +#7211: true (1) +#7212: true (1) +#7213: true (1) +#7214: true (1) +#7215: true (1) +#7216: true (1) +#7217: true (1) +#7218: true (1) +#7219: true (1) +#7220: true (1) +#7221: true (1) +#7222: true (1) +#7223: true (1) +#7224: true (1) +#7225: note (0) +#7226: note (0) +#7227: note (0) +#7228: note (0) +#7229: note (0) +#7230: note (0) +#7231: note (0) +#7232: note (0) +#7233: note (0) +#7234: note (0) +#7235: note (0) +#7236: note (0) +#7237: note (0) +#7238: note (0) +#7239: note (0) +#7240: note (0) +#7241: 3 +#7242: 3 +#7243: 3 +#7244: 3 +#7245: 3 +#7246: 3 +#7247: 3 +#7248: 3 +#7249: 3 +#7250: 3 +#7251: 3 +#7252: 3 +#7253: 3 +#7254: 3 +#7255: 3 +#7256: 3 +#7257: 3 +#7258: 3 +#7259: 3 +#7260: 3 +#7261: 3 +#7262: 3 +#7263: 3 +#7264: 3 +#7265: 3 +#7266: 3 +#7267: 3 +#7268: 3 +#7269: 3 +#7270: 3 +#7271: 3 +#7272: 3 +#7273: 3000000 +#7274: 3000000 +#7275: 3000000 +#7276: 3000000 +#7277: 3000000 +#7278: 3000000 +#7279: 3000000 +#7280: 3000000 +#7281: 3000000 +#7282: 3000000 +#7283: 3000000 +#7284: 3000000 +#7285: 3000000 +#7286: 3000000 +#7287: 3000000 +#7288: 3000000 +#7289: 1 +#7290: 1 +#7291: 1 +#7292: 1 +#7293: 1 +#7294: 1 +#7295: 1 +#7296: 1 +#7297: 1 +#7298: 1 +#7299: 1 +#7300: 1 +#7301: 1 +#7302: 1 +#7303: 1 +#7304: 1 +#7305: 1 +#7306: 1 +#7307: 1 +#7308: 1 +#7309: 1 +#7310: 1 +#7311: 1 +#7312: 1 +#7313: 1 +#7314: 1 +#7315: 1 +#7316: 1 +#7317: 1 +#7318: 1 +#7319: 1 +#7320: 1 +#7321: true (1) +#7322: true (1) +#7323: true (1) +#7324: true (1) +#7325: true (1) +#7326: true (1) +#7327: true (1) +#7328: true (1) +#7329: true (1) +#7330: true (1) +#7331: true (1) +#7332: true (1) +#7333: true (1) +#7334: true (1) +#7335: true (1) +#7336: true (1) +#7337: note (0) +#7338: note (0) +#7339: note (0) +#7340: note (0) +#7341: note (0) +#7342: note (0) +#7343: note (0) +#7344: note (0) +#7345: note (0) +#7346: note (0) +#7347: note (0) +#7348: note (0) +#7349: note (0) +#7350: note (0) +#7351: note (0) +#7352: note (0) +#7353: 3 +#7354: 3 +#7355: 3 +#7356: 3 +#7357: 3 +#7358: 3 +#7359: 3 +#7360: 3 +#7361: 3 +#7362: 3 +#7363: 3 +#7364: 3 +#7365: 3 +#7366: 3 +#7367: 3 +#7368: 3 +#7369: 3 +#7370: 3 +#7371: 3 +#7372: 3 +#7373: 3 +#7374: 3 +#7375: 3 +#7376: 3 +#7377: 3 +#7378: 3 +#7379: 3 +#7380: 3 +#7381: 3 +#7382: 3 +#7383: 3 +#7384: 3 +#7385: 3000000 +#7386: 3000000 +#7387: 3000000 +#7388: 3000000 +#7389: 3000000 +#7390: 3000000 +#7391: 3000000 +#7392: 3000000 +#7393: 3000000 +#7394: 3000000 +#7395: 3000000 +#7396: 3000000 +#7397: 3000000 +#7398: 3000000 +#7399: 3000000 +#7400: 3000000 +#7401: 1 +#7402: 1 +#7403: 1 +#7404: 1 +#7405: 1 +#7406: 1 +#7407: 1 +#7408: 1 +#7409: 1 +#7410: 1 +#7411: 1 +#7412: 1 +#7413: 1 +#7414: 1 +#7415: 1 +#7416: 1 +#7417: 1 +#7418: 1 +#7419: 1 +#7420: 1 +#7421: 1 +#7422: 1 +#7423: 1 +#7424: 1 +#7425: 1 +#7426: 1 +#7427: 1 +#7428: 1 +#7429: 1 +#7430: 1 +#7431: 1 +#7432: 1 +Time is 1000000 fs +#1: true (1) +#2: true (1) +#3: true (1) +#4: true (1) +#5: true (1) +#6: true (1) +#7: true (1) +#8: true (1) +#9: true (1) +#10: true (1) +#11: true (1) +#12: true (1) +#13: true (1) +#14: true (1) +#15: true (1) +#16: true (1) +#17: note (0) +#18: note (0) +#19: note (0) +#20: note (0) +#21: note (0) +#22: note (0) +#23: note (0) +#24: note (0) +#25: note (0) +#26: note (0) +#27: note (0) +#28: note (0) +#29: note (0) +#30: note (0) +#31: note (0) +#32: note (0) +#33: 3 +#34: 3 +#35: 3 +#36: 3 +#37: 3 +#38: 3 +#39: 3 +#40: 3 +#41: 3 +#42: 3 +#43: 3 +#44: 3 +#45: 3 +#46: 3 +#47: 3 +#48: 3 +#49: 3 +#50: 3 +#51: 3 +#52: 3 +#53: 3 +#54: 3 +#55: 3 +#56: 3 +#57: 3 +#58: 3 +#59: 3 +#60: 3 +#61: 3 +#62: 3 +#63: 3 +#64: 3 +#65: 3000000 +#66: 3000000 +#67: 3000000 +#68: 3000000 +#69: 3000000 +#70: 3000000 +#71: 3000000 +#72: 3000000 +#73: 3000000 +#74: 3000000 +#75: 3000000 +#76: 3000000 +#77: 3000000 +#78: 3000000 +#79: 3000000 +#80: 3000000 +#81: 1 +#82: 1 +#83: 1 +#84: 1 +#85: 1 +#86: 1 +#87: 1 +#88: 1 +#89: 1 +#90: 1 +#91: 1 +#92: 1 +#93: 1 +#94: 1 +#95: 1 +#96: 1 +#97: 1 +#98: 1 +#99: 1 +#100: 1 +#101: 1 +#102: 1 +#103: 1 +#104: 1 +#105: 1 +#106: 1 +#107: 1 +#108: 1 +#109: 1 +#110: 1 +#111: 1 +#112: 1 +#113: true (1) +#114: true (1) +#115: true (1) +#116: true (1) +#117: true (1) +#118: true (1) +#119: true (1) +#120: true (1) +#121: true (1) +#122: true (1) +#123: true (1) +#124: true (1) +#125: true (1) +#126: true (1) +#127: true (1) +#128: true (1) +#129: note (0) +#130: note (0) +#131: note (0) +#132: note (0) +#133: note (0) +#134: note (0) +#135: note (0) +#136: note (0) +#137: note (0) +#138: note (0) +#139: note (0) +#140: note (0) +#141: note (0) +#142: note (0) +#143: note (0) +#144: note (0) +#145: 3 +#146: 3 +#147: 3 +#148: 3 +#149: 3 +#150: 3 +#151: 3 +#152: 3 +#153: 3 +#154: 3 +#155: 3 +#156: 3 +#157: 3 +#158: 3 +#159: 3 +#160: 3 +#161: 3 +#162: 3 +#163: 3 +#164: 3 +#165: 3 +#166: 3 +#167: 3 +#168: 3 +#169: 3 +#170: 3 +#171: 3 +#172: 3 +#173: 3 +#174: 3 +#175: 3 +#176: 3 +#177: 3000000 +#178: 3000000 +#179: 3000000 +#180: 3000000 +#181: 3000000 +#182: 3000000 +#183: 3000000 +#184: 3000000 +#185: 3000000 +#186: 3000000 +#187: 3000000 +#188: 3000000 +#189: 3000000 +#190: 3000000 +#191: 3000000 +#192: 3000000 +#193: 1 +#194: 1 +#195: 1 +#196: 1 +#197: 1 +#198: 1 +#199: 1 +#200: 1 +#201: 1 +#202: 1 +#203: 1 +#204: 1 +#205: 1 +#206: 1 +#207: 1 +#208: 1 +#209: 1 +#210: 1 +#211: 1 +#212: 1 +#213: 1 +#214: 1 +#215: 1 +#216: 1 +#217: 1 +#218: 1 +#219: 1 +#220: 1 +#221: 1 +#222: 1 +#223: 1 +#224: 1 +#225: true (1) +#226: true (1) +#227: true (1) +#228: true (1) +#229: true (1) +#230: true (1) +#231: true (1) +#232: true (1) +#233: true (1) +#234: true (1) +#235: true (1) +#236: true (1) +#237: true (1) +#238: true (1) +#239: true (1) +#240: true (1) +#241: true (1) +#242: true (1) +#243: true (1) +#244: true (1) +#245: true (1) +#246: true (1) +#247: true (1) +#248: true (1) +#249: true (1) +#250: true (1) +#251: true (1) +#252: true (1) +#253: true (1) +#254: true (1) +#255: true (1) +#256: true (1) +#257: true (1) +#258: true (1) +#259: true (1) +#260: true (1) +#261: true (1) +#262: true (1) +#263: true (1) +#264: true (1) +#265: true (1) +#266: true (1) +#267: true (1) +#268: true (1) +#269: true (1) +#270: true (1) +#271: true (1) +#272: true (1) +#273: true (1) +#274: true (1) +#275: true (1) +#276: true (1) +#277: true (1) +#278: true (1) +#279: true (1) +#280: true (1) +#281: true (1) +#282: true (1) +#283: true (1) +#284: true (1) +#285: true (1) +#286: true (1) +#287: true (1) +#288: true (1) +#289: true (1) +#290: true (1) +#291: true (1) +#292: true (1) +#293: true (1) +#294: true (1) +#295: true (1) +#296: true (1) +#297: true (1) +#298: true (1) +#299: true (1) +#300: true (1) +#301: true (1) +#302: true (1) +#303: true (1) +#304: true (1) +#305: true (1) +#306: true (1) +#307: true (1) +#308: true (1) +#309: true (1) +#310: true (1) +#311: true (1) +#312: true (1) +#313: true (1) +#314: true (1) +#315: true (1) +#316: true (1) +#317: true (1) +#318: true (1) +#319: true (1) +#320: true (1) +#321: true (1) +#322: true (1) +#323: true (1) +#324: true (1) +#325: true (1) +#326: true (1) +#327: true (1) +#328: true (1) +#329: true (1) +#330: true (1) +#331: true (1) +#332: true (1) +#333: true (1) +#334: true (1) +#335: true (1) +#336: true (1) +#337: true (1) +#338: true (1) +#339: true (1) +#340: true (1) +#341: true (1) +#342: true (1) +#343: true (1) +#344: true (1) +#345: true (1) +#346: true (1) +#347: true (1) +#348: true (1) +#349: true (1) +#350: true (1) +#351: true (1) +#352: true (1) +#353: true (1) +#354: true (1) +#355: true (1) +#356: true (1) +#357: true (1) +#358: true (1) +#359: true (1) +#360: true (1) +#361: true (1) +#362: true (1) +#363: true (1) +#364: true (1) +#365: true (1) +#366: true (1) +#367: true (1) +#368: true (1) +#369: true (1) +#370: true (1) +#371: true (1) +#372: true (1) +#373: true (1) +#374: true (1) +#375: true (1) +#376: true (1) +#377: true (1) +#378: true (1) +#379: true (1) +#380: true (1) +#381: true (1) +#382: true (1) +#383: true (1) +#384: true (1) +#385: true (1) +#386: true (1) +#387: true (1) +#388: true (1) +#389: true (1) +#390: true (1) +#391: true (1) +#392: true (1) +#393: true (1) +#394: true (1) +#395: true (1) +#396: true (1) +#397: true (1) +#398: true (1) +#399: true (1) +#400: true (1) +#401: true (1) +#402: true (1) +#403: true (1) +#404: true (1) +#405: true (1) +#406: true (1) +#407: true (1) +#408: true (1) +#409: true (1) +#410: true (1) +#411: true (1) +#412: true (1) +#413: true (1) +#414: true (1) +#415: true (1) +#416: true (1) +#417: true (1) +#418: true (1) +#419: true (1) +#420: true (1) +#421: true (1) +#422: true (1) +#423: true (1) +#424: true (1) +#425: true (1) +#426: true (1) +#427: true (1) +#428: true (1) +#429: true (1) +#430: true (1) +#431: true (1) +#432: true (1) +#433: true (1) +#434: true (1) +#435: true (1) +#436: true (1) +#437: true (1) +#438: true (1) +#439: true (1) +#440: true (1) +#441: true (1) +#442: true (1) +#443: true (1) +#444: true (1) +#445: true (1) +#446: true (1) +#447: true (1) +#448: true (1) +#449: true (1) +#450: true (1) +#451: true (1) +#452: true (1) +#453: true (1) +#454: true (1) +#455: true (1) +#456: true (1) +#457: true (1) +#458: true (1) +#459: true (1) +#460: true (1) +#461: true (1) +#462: true (1) +#463: true (1) +#464: true (1) +#465: true (1) +#466: true (1) +#467: true (1) +#468: true (1) +#469: true (1) +#470: true (1) +#471: true (1) +#472: true (1) +#473: true (1) +#474: true (1) +#475: true (1) +#476: true (1) +#477: true (1) +#478: true (1) +#479: true (1) +#480: true (1) +#481: note (0) +#482: note (0) +#483: note (0) +#484: note (0) +#485: note (0) +#486: note (0) +#487: note (0) +#488: note (0) +#489: note (0) +#490: note (0) +#491: note (0) +#492: note (0) +#493: note (0) +#494: note (0) +#495: note (0) +#496: note (0) +#497: note (0) +#498: note (0) +#499: note (0) +#500: note (0) +#501: note (0) +#502: note (0) +#503: note (0) +#504: note (0) +#505: note (0) +#506: note (0) +#507: note (0) +#508: note (0) +#509: note (0) +#510: note (0) +#511: note (0) +#512: note (0) +#513: note (0) +#514: note (0) +#515: note (0) +#516: note (0) +#517: note (0) +#518: note (0) +#519: note (0) +#520: note (0) +#521: note (0) +#522: note (0) +#523: note (0) +#524: note (0) +#525: note (0) +#526: note (0) +#527: note (0) +#528: note (0) +#529: note (0) +#530: note (0) +#531: note (0) +#532: note (0) +#533: note (0) +#534: note (0) +#535: note (0) +#536: note (0) +#537: note (0) +#538: note (0) +#539: note (0) +#540: note (0) +#541: note (0) +#542: note (0) +#543: note (0) +#544: note (0) +#545: note (0) +#546: note (0) +#547: note (0) +#548: note (0) +#549: note (0) +#550: note (0) +#551: note (0) +#552: note (0) +#553: note (0) +#554: note (0) +#555: note (0) +#556: note (0) +#557: note (0) +#558: note (0) +#559: note (0) +#560: note (0) +#561: note (0) +#562: note (0) +#563: note (0) +#564: note (0) +#565: note (0) +#566: note (0) +#567: note (0) +#568: note (0) +#569: note (0) +#570: note (0) +#571: note (0) +#572: note (0) +#573: note (0) +#574: note (0) +#575: note (0) +#576: note (0) +#577: note (0) +#578: note (0) +#579: note (0) +#580: note (0) +#581: note (0) +#582: note (0) +#583: note (0) +#584: note (0) +#585: note (0) +#586: note (0) +#587: note (0) +#588: note (0) +#589: note (0) +#590: note (0) +#591: note (0) +#592: note (0) +#593: note (0) +#594: note (0) +#595: note (0) +#596: note (0) +#597: note (0) +#598: note (0) +#599: note (0) +#600: note (0) +#601: note (0) +#602: note (0) +#603: note (0) +#604: note (0) +#605: note (0) +#606: note (0) +#607: note (0) +#608: note (0) +#609: note (0) +#610: note (0) +#611: note (0) +#612: note (0) +#613: note (0) +#614: note (0) +#615: note (0) +#616: note (0) +#617: note (0) +#618: note (0) +#619: note (0) +#620: note (0) +#621: note (0) +#622: note (0) +#623: note (0) +#624: note (0) +#625: note (0) +#626: note (0) +#627: note (0) +#628: note (0) +#629: note (0) +#630: note (0) +#631: note (0) +#632: note (0) +#633: note (0) +#634: note (0) +#635: note (0) +#636: note (0) +#637: note (0) +#638: note (0) +#639: note (0) +#640: note (0) +#641: note (0) +#642: note (0) +#643: note (0) +#644: note (0) +#645: note (0) +#646: note (0) +#647: note (0) +#648: note (0) +#649: note (0) +#650: note (0) +#651: note (0) +#652: note (0) +#653: note (0) +#654: note (0) +#655: note (0) +#656: note (0) +#657: note (0) +#658: note (0) +#659: note (0) +#660: note (0) +#661: note (0) +#662: note (0) +#663: note (0) +#664: note (0) +#665: note (0) +#666: note (0) +#667: note (0) +#668: note (0) +#669: note (0) +#670: note (0) +#671: note (0) +#672: note (0) +#673: note (0) +#674: note (0) +#675: note (0) +#676: note (0) +#677: note (0) +#678: note (0) +#679: note (0) +#680: note (0) +#681: note (0) +#682: note (0) +#683: note (0) +#684: note (0) +#685: note (0) +#686: note (0) +#687: note (0) +#688: note (0) +#689: note (0) +#690: note (0) +#691: note (0) +#692: note (0) +#693: note (0) +#694: note (0) +#695: note (0) +#696: note (0) +#697: note (0) +#698: note (0) +#699: note (0) +#700: note (0) +#701: note (0) +#702: note (0) +#703: note (0) +#704: note (0) +#705: note (0) +#706: note (0) +#707: note (0) +#708: note (0) +#709: note (0) +#710: note (0) +#711: note (0) +#712: note (0) +#713: note (0) +#714: note (0) +#715: note (0) +#716: note (0) +#717: note (0) +#718: note (0) +#719: note (0) +#720: note (0) +#721: note (0) +#722: note (0) +#723: note (0) +#724: note (0) +#725: note (0) +#726: note (0) +#727: note (0) +#728: note (0) +#729: note (0) +#730: note (0) +#731: note (0) +#732: note (0) +#733: note (0) +#734: note (0) +#735: note (0) +#736: note (0) +#737: 3 +#738: 3 +#739: 3 +#740: 3 +#741: 3 +#742: 3 +#743: 3 +#744: 3 +#745: 3 +#746: 3 +#747: 3 +#748: 3 +#749: 3 +#750: 3 +#751: 3 +#752: 3 +#753: 3 +#754: 3 +#755: 3 +#756: 3 +#757: 3 +#758: 3 +#759: 3 +#760: 3 +#761: 3 +#762: 3 +#763: 3 +#764: 3 +#765: 3 +#766: 3 +#767: 3 +#768: 3 +#769: 3 +#770: 3 +#771: 3 +#772: 3 +#773: 3 +#774: 3 +#775: 3 +#776: 3 +#777: 3 +#778: 3 +#779: 3 +#780: 3 +#781: 3 +#782: 3 +#783: 3 +#784: 3 +#785: 3 +#786: 3 +#787: 3 +#788: 3 +#789: 3 +#790: 3 +#791: 3 +#792: 3 +#793: 3 +#794: 3 +#795: 3 +#796: 3 +#797: 3 +#798: 3 +#799: 3 +#800: 3 +#801: 3 +#802: 3 +#803: 3 +#804: 3 +#805: 3 +#806: 3 +#807: 3 +#808: 3 +#809: 3 +#810: 3 +#811: 3 +#812: 3 +#813: 3 +#814: 3 +#815: 3 +#816: 3 +#817: 3 +#818: 3 +#819: 3 +#820: 3 +#821: 3 +#822: 3 +#823: 3 +#824: 3 +#825: 3 +#826: 3 +#827: 3 +#828: 3 +#829: 3 +#830: 3 +#831: 3 +#832: 3 +#833: 3 +#834: 3 +#835: 3 +#836: 3 +#837: 3 +#838: 3 +#839: 3 +#840: 3 +#841: 3 +#842: 3 +#843: 3 +#844: 3 +#845: 3 +#846: 3 +#847: 3 +#848: 3 +#849: 3 +#850: 3 +#851: 3 +#852: 3 +#853: 3 +#854: 3 +#855: 3 +#856: 3 +#857: 3 +#858: 3 +#859: 3 +#860: 3 +#861: 3 +#862: 3 +#863: 3 +#864: 3 +#865: 3 +#866: 3 +#867: 3 +#868: 3 +#869: 3 +#870: 3 +#871: 3 +#872: 3 +#873: 3 +#874: 3 +#875: 3 +#876: 3 +#877: 3 +#878: 3 +#879: 3 +#880: 3 +#881: 3 +#882: 3 +#883: 3 +#884: 3 +#885: 3 +#886: 3 +#887: 3 +#888: 3 +#889: 3 +#890: 3 +#891: 3 +#892: 3 +#893: 3 +#894: 3 +#895: 3 +#896: 3 +#897: 3 +#898: 3 +#899: 3 +#900: 3 +#901: 3 +#902: 3 +#903: 3 +#904: 3 +#905: 3 +#906: 3 +#907: 3 +#908: 3 +#909: 3 +#910: 3 +#911: 3 +#912: 3 +#913: 3 +#914: 3 +#915: 3 +#916: 3 +#917: 3 +#918: 3 +#919: 3 +#920: 3 +#921: 3 +#922: 3 +#923: 3 +#924: 3 +#925: 3 +#926: 3 +#927: 3 +#928: 3 +#929: 3 +#930: 3 +#931: 3 +#932: 3 +#933: 3 +#934: 3 +#935: 3 +#936: 3 +#937: 3 +#938: 3 +#939: 3 +#940: 3 +#941: 3 +#942: 3 +#943: 3 +#944: 3 +#945: 3 +#946: 3 +#947: 3 +#948: 3 +#949: 3 +#950: 3 +#951: 3 +#952: 3 +#953: 3 +#954: 3 +#955: 3 +#956: 3 +#957: 3 +#958: 3 +#959: 3 +#960: 3 +#961: 3 +#962: 3 +#963: 3 +#964: 3 +#965: 3 +#966: 3 +#967: 3 +#968: 3 +#969: 3 +#970: 3 +#971: 3 +#972: 3 +#973: 3 +#974: 3 +#975: 3 +#976: 3 +#977: 3 +#978: 3 +#979: 3 +#980: 3 +#981: 3 +#982: 3 +#983: 3 +#984: 3 +#985: 3 +#986: 3 +#987: 3 +#988: 3 +#989: 3 +#990: 3 +#991: 3 +#992: 3 +#993: 3 +#994: 3 +#995: 3 +#996: 3 +#997: 3 +#998: 3 +#999: 3 +#1000: 3 +#1001: 3 +#1002: 3 +#1003: 3 +#1004: 3 +#1005: 3 +#1006: 3 +#1007: 3 +#1008: 3 +#1009: 3 +#1010: 3 +#1011: 3 +#1012: 3 +#1013: 3 +#1014: 3 +#1015: 3 +#1016: 3 +#1017: 3 +#1018: 3 +#1019: 3 +#1020: 3 +#1021: 3 +#1022: 3 +#1023: 3 +#1024: 3 +#1025: 3 +#1026: 3 +#1027: 3 +#1028: 3 +#1029: 3 +#1030: 3 +#1031: 3 +#1032: 3 +#1033: 3 +#1034: 3 +#1035: 3 +#1036: 3 +#1037: 3 +#1038: 3 +#1039: 3 +#1040: 3 +#1041: 3 +#1042: 3 +#1043: 3 +#1044: 3 +#1045: 3 +#1046: 3 +#1047: 3 +#1048: 3 +#1049: 3 +#1050: 3 +#1051: 3 +#1052: 3 +#1053: 3 +#1054: 3 +#1055: 3 +#1056: 3 +#1057: 3 +#1058: 3 +#1059: 3 +#1060: 3 +#1061: 3 +#1062: 3 +#1063: 3 +#1064: 3 +#1065: 3 +#1066: 3 +#1067: 3 +#1068: 3 +#1069: 3 +#1070: 3 +#1071: 3 +#1072: 3 +#1073: 3 +#1074: 3 +#1075: 3 +#1076: 3 +#1077: 3 +#1078: 3 +#1079: 3 +#1080: 3 +#1081: 3 +#1082: 3 +#1083: 3 +#1084: 3 +#1085: 3 +#1086: 3 +#1087: 3 +#1088: 3 +#1089: 3 +#1090: 3 +#1091: 3 +#1092: 3 +#1093: 3 +#1094: 3 +#1095: 3 +#1096: 3 +#1097: 3 +#1098: 3 +#1099: 3 +#1100: 3 +#1101: 3 +#1102: 3 +#1103: 3 +#1104: 3 +#1105: 3 +#1106: 3 +#1107: 3 +#1108: 3 +#1109: 3 +#1110: 3 +#1111: 3 +#1112: 3 +#1113: 3 +#1114: 3 +#1115: 3 +#1116: 3 +#1117: 3 +#1118: 3 +#1119: 3 +#1120: 3 +#1121: 3 +#1122: 3 +#1123: 3 +#1124: 3 +#1125: 3 +#1126: 3 +#1127: 3 +#1128: 3 +#1129: 3 +#1130: 3 +#1131: 3 +#1132: 3 +#1133: 3 +#1134: 3 +#1135: 3 +#1136: 3 +#1137: 3 +#1138: 3 +#1139: 3 +#1140: 3 +#1141: 3 +#1142: 3 +#1143: 3 +#1144: 3 +#1145: 3 +#1146: 3 +#1147: 3 +#1148: 3 +#1149: 3 +#1150: 3 +#1151: 3 +#1152: 3 +#1153: 3 +#1154: 3 +#1155: 3 +#1156: 3 +#1157: 3 +#1158: 3 +#1159: 3 +#1160: 3 +#1161: 3 +#1162: 3 +#1163: 3 +#1164: 3 +#1165: 3 +#1166: 3 +#1167: 3 +#1168: 3 +#1169: 3 +#1170: 3 +#1171: 3 +#1172: 3 +#1173: 3 +#1174: 3 +#1175: 3 +#1176: 3 +#1177: 3 +#1178: 3 +#1179: 3 +#1180: 3 +#1181: 3 +#1182: 3 +#1183: 3 +#1184: 3 +#1185: 3 +#1186: 3 +#1187: 3 +#1188: 3 +#1189: 3 +#1190: 3 +#1191: 3 +#1192: 3 +#1193: 3 +#1194: 3 +#1195: 3 +#1196: 3 +#1197: 3 +#1198: 3 +#1199: 3 +#1200: 3 +#1201: 3 +#1202: 3 +#1203: 3 +#1204: 3 +#1205: 3 +#1206: 3 +#1207: 3 +#1208: 3 +#1209: 3 +#1210: 3 +#1211: 3 +#1212: 3 +#1213: 3 +#1214: 3 +#1215: 3 +#1216: 3 +#1217: 3 +#1218: 3 +#1219: 3 +#1220: 3 +#1221: 3 +#1222: 3 +#1223: 3 +#1224: 3 +#1225: 3 +#1226: 3 +#1227: 3 +#1228: 3 +#1229: 3 +#1230: 3 +#1231: 3 +#1232: 3 +#1233: 3 +#1234: 3 +#1235: 3 +#1236: 3 +#1237: 3 +#1238: 3 +#1239: 3 +#1240: 3 +#1241: 3 +#1242: 3 +#1243: 3 +#1244: 3 +#1245: 3 +#1246: 3 +#1247: 3 +#1248: 3 +#1249: 3000000 +#1250: 3000000 +#1251: 3000000 +#1252: 3000000 +#1253: 3000000 +#1254: 3000000 +#1255: 3000000 +#1256: 3000000 +#1257: 3000000 +#1258: 3000000 +#1259: 3000000 +#1260: 3000000 +#1261: 3000000 +#1262: 3000000 +#1263: 3000000 +#1264: 3000000 +#1265: 3000000 +#1266: 3000000 +#1267: 3000000 +#1268: 3000000 +#1269: 3000000 +#1270: 3000000 +#1271: 3000000 +#1272: 3000000 +#1273: 3000000 +#1274: 3000000 +#1275: 3000000 +#1276: 3000000 +#1277: 3000000 +#1278: 3000000 +#1279: 3000000 +#1280: 3000000 +#1281: 3000000 +#1282: 3000000 +#1283: 3000000 +#1284: 3000000 +#1285: 3000000 +#1286: 3000000 +#1287: 3000000 +#1288: 3000000 +#1289: 3000000 +#1290: 3000000 +#1291: 3000000 +#1292: 3000000 +#1293: 3000000 +#1294: 3000000 +#1295: 3000000 +#1296: 3000000 +#1297: 3000000 +#1298: 3000000 +#1299: 3000000 +#1300: 3000000 +#1301: 3000000 +#1302: 3000000 +#1303: 3000000 +#1304: 3000000 +#1305: 3000000 +#1306: 3000000 +#1307: 3000000 +#1308: 3000000 +#1309: 3000000 +#1310: 3000000 +#1311: 3000000 +#1312: 3000000 +#1313: 3000000 +#1314: 3000000 +#1315: 3000000 +#1316: 3000000 +#1317: 3000000 +#1318: 3000000 +#1319: 3000000 +#1320: 3000000 +#1321: 3000000 +#1322: 3000000 +#1323: 3000000 +#1324: 3000000 +#1325: 3000000 +#1326: 3000000 +#1327: 3000000 +#1328: 3000000 +#1329: 3000000 +#1330: 3000000 +#1331: 3000000 +#1332: 3000000 +#1333: 3000000 +#1334: 3000000 +#1335: 3000000 +#1336: 3000000 +#1337: 3000000 +#1338: 3000000 +#1339: 3000000 +#1340: 3000000 +#1341: 3000000 +#1342: 3000000 +#1343: 3000000 +#1344: 3000000 +#1345: 3000000 +#1346: 3000000 +#1347: 3000000 +#1348: 3000000 +#1349: 3000000 +#1350: 3000000 +#1351: 3000000 +#1352: 3000000 +#1353: 3000000 +#1354: 3000000 +#1355: 3000000 +#1356: 3000000 +#1357: 3000000 +#1358: 3000000 +#1359: 3000000 +#1360: 3000000 +#1361: 3000000 +#1362: 3000000 +#1363: 3000000 +#1364: 3000000 +#1365: 3000000 +#1366: 3000000 +#1367: 3000000 +#1368: 3000000 +#1369: 3000000 +#1370: 3000000 +#1371: 3000000 +#1372: 3000000 +#1373: 3000000 +#1374: 3000000 +#1375: 3000000 +#1376: 3000000 +#1377: 3000000 +#1378: 3000000 +#1379: 3000000 +#1380: 3000000 +#1381: 3000000 +#1382: 3000000 +#1383: 3000000 +#1384: 3000000 +#1385: 3000000 +#1386: 3000000 +#1387: 3000000 +#1388: 3000000 +#1389: 3000000 +#1390: 3000000 +#1391: 3000000 +#1392: 3000000 +#1393: 3000000 +#1394: 3000000 +#1395: 3000000 +#1396: 3000000 +#1397: 3000000 +#1398: 3000000 +#1399: 3000000 +#1400: 3000000 +#1401: 3000000 +#1402: 3000000 +#1403: 3000000 +#1404: 3000000 +#1405: 3000000 +#1406: 3000000 +#1407: 3000000 +#1408: 3000000 +#1409: 3000000 +#1410: 3000000 +#1411: 3000000 +#1412: 3000000 +#1413: 3000000 +#1414: 3000000 +#1415: 3000000 +#1416: 3000000 +#1417: 3000000 +#1418: 3000000 +#1419: 3000000 +#1420: 3000000 +#1421: 3000000 +#1422: 3000000 +#1423: 3000000 +#1424: 3000000 +#1425: 3000000 +#1426: 3000000 +#1427: 3000000 +#1428: 3000000 +#1429: 3000000 +#1430: 3000000 +#1431: 3000000 +#1432: 3000000 +#1433: 3000000 +#1434: 3000000 +#1435: 3000000 +#1436: 3000000 +#1437: 3000000 +#1438: 3000000 +#1439: 3000000 +#1440: 3000000 +#1441: 3000000 +#1442: 3000000 +#1443: 3000000 +#1444: 3000000 +#1445: 3000000 +#1446: 3000000 +#1447: 3000000 +#1448: 3000000 +#1449: 3000000 +#1450: 3000000 +#1451: 3000000 +#1452: 3000000 +#1453: 3000000 +#1454: 3000000 +#1455: 3000000 +#1456: 3000000 +#1457: 3000000 +#1458: 3000000 +#1459: 3000000 +#1460: 3000000 +#1461: 3000000 +#1462: 3000000 +#1463: 3000000 +#1464: 3000000 +#1465: 3000000 +#1466: 3000000 +#1467: 3000000 +#1468: 3000000 +#1469: 3000000 +#1470: 3000000 +#1471: 3000000 +#1472: 3000000 +#1473: 3000000 +#1474: 3000000 +#1475: 3000000 +#1476: 3000000 +#1477: 3000000 +#1478: 3000000 +#1479: 3000000 +#1480: 3000000 +#1481: 3000000 +#1482: 3000000 +#1483: 3000000 +#1484: 3000000 +#1485: 3000000 +#1486: 3000000 +#1487: 3000000 +#1488: 3000000 +#1489: 3000000 +#1490: 3000000 +#1491: 3000000 +#1492: 3000000 +#1493: 3000000 +#1494: 3000000 +#1495: 3000000 +#1496: 3000000 +#1497: 3000000 +#1498: 3000000 +#1499: 3000000 +#1500: 3000000 +#1501: 3000000 +#1502: 3000000 +#1503: 3000000 +#1504: 3000000 +#1505: 1 +#1506: 1 +#1507: 1 +#1508: 1 +#1509: 1 +#1510: 1 +#1511: 1 +#1512: 1 +#1513: 1 +#1514: 1 +#1515: 1 +#1516: 1 +#1517: 1 +#1518: 1 +#1519: 1 +#1520: 1 +#1521: 1 +#1522: 1 +#1523: 1 +#1524: 1 +#1525: 1 +#1526: 1 +#1527: 1 +#1528: 1 +#1529: 1 +#1530: 1 +#1531: 1 +#1532: 1 +#1533: 1 +#1534: 1 +#1535: 1 +#1536: 1 +#1537: 1 +#1538: 1 +#1539: 1 +#1540: 1 +#1541: 1 +#1542: 1 +#1543: 1 +#1544: 1 +#1545: 1 +#1546: 1 +#1547: 1 +#1548: 1 +#1549: 1 +#1550: 1 +#1551: 1 +#1552: 1 +#1553: 1 +#1554: 1 +#1555: 1 +#1556: 1 +#1557: 1 +#1558: 1 +#1559: 1 +#1560: 1 +#1561: 1 +#1562: 1 +#1563: 1 +#1564: 1 +#1565: 1 +#1566: 1 +#1567: 1 +#1568: 1 +#1569: 1 +#1570: 1 +#1571: 1 +#1572: 1 +#1573: 1 +#1574: 1 +#1575: 1 +#1576: 1 +#1577: 1 +#1578: 1 +#1579: 1 +#1580: 1 +#1581: 1 +#1582: 1 +#1583: 1 +#1584: 1 +#1585: 1 +#1586: 1 +#1587: 1 +#1588: 1 +#1589: 1 +#1590: 1 +#1591: 1 +#1592: 1 +#1593: 1 +#1594: 1 +#1595: 1 +#1596: 1 +#1597: 1 +#1598: 1 +#1599: 1 +#1600: 1 +#1601: 1 +#1602: 1 +#1603: 1 +#1604: 1 +#1605: 1 +#1606: 1 +#1607: 1 +#1608: 1 +#1609: 1 +#1610: 1 +#1611: 1 +#1612: 1 +#1613: 1 +#1614: 1 +#1615: 1 +#1616: 1 +#1617: 1 +#1618: 1 +#1619: 1 +#1620: 1 +#1621: 1 +#1622: 1 +#1623: 1 +#1624: 1 +#1625: 1 +#1626: 1 +#1627: 1 +#1628: 1 +#1629: 1 +#1630: 1 +#1631: 1 +#1632: 1 +#1633: 1 +#1634: 1 +#1635: 1 +#1636: 1 +#1637: 1 +#1638: 1 +#1639: 1 +#1640: 1 +#1641: 1 +#1642: 1 +#1643: 1 +#1644: 1 +#1645: 1 +#1646: 1 +#1647: 1 +#1648: 1 +#1649: 1 +#1650: 1 +#1651: 1 +#1652: 1 +#1653: 1 +#1654: 1 +#1655: 1 +#1656: 1 +#1657: 1 +#1658: 1 +#1659: 1 +#1660: 1 +#1661: 1 +#1662: 1 +#1663: 1 +#1664: 1 +#1665: 1 +#1666: 1 +#1667: 1 +#1668: 1 +#1669: 1 +#1670: 1 +#1671: 1 +#1672: 1 +#1673: 1 +#1674: 1 +#1675: 1 +#1676: 1 +#1677: 1 +#1678: 1 +#1679: 1 +#1680: 1 +#1681: 1 +#1682: 1 +#1683: 1 +#1684: 1 +#1685: 1 +#1686: 1 +#1687: 1 +#1688: 1 +#1689: 1 +#1690: 1 +#1691: 1 +#1692: 1 +#1693: 1 +#1694: 1 +#1695: 1 +#1696: 1 +#1697: 1 +#1698: 1 +#1699: 1 +#1700: 1 +#1701: 1 +#1702: 1 +#1703: 1 +#1704: 1 +#1705: 1 +#1706: 1 +#1707: 1 +#1708: 1 +#1709: 1 +#1710: 1 +#1711: 1 +#1712: 1 +#1713: 1 +#1714: 1 +#1715: 1 +#1716: 1 +#1717: 1 +#1718: 1 +#1719: 1 +#1720: 1 +#1721: 1 +#1722: 1 +#1723: 1 +#1724: 1 +#1725: 1 +#1726: 1 +#1727: 1 +#1728: 1 +#1729: 1 +#1730: 1 +#1731: 1 +#1732: 1 +#1733: 1 +#1734: 1 +#1735: 1 +#1736: 1 +#1737: 1 +#1738: 1 +#1739: 1 +#1740: 1 +#1741: 1 +#1742: 1 +#1743: 1 +#1744: 1 +#1745: 1 +#1746: 1 +#1747: 1 +#1748: 1 +#1749: 1 +#1750: 1 +#1751: 1 +#1752: 1 +#1753: 1 +#1754: 1 +#1755: 1 +#1756: 1 +#1757: 1 +#1758: 1 +#1759: 1 +#1760: 1 +#1761: 1 +#1762: 1 +#1763: 1 +#1764: 1 +#1765: 1 +#1766: 1 +#1767: 1 +#1768: 1 +#1769: 1 +#1770: 1 +#1771: 1 +#1772: 1 +#1773: 1 +#1774: 1 +#1775: 1 +#1776: 1 +#1777: 1 +#1778: 1 +#1779: 1 +#1780: 1 +#1781: 1 +#1782: 1 +#1783: 1 +#1784: 1 +#1785: 1 +#1786: 1 +#1787: 1 +#1788: 1 +#1789: 1 +#1790: 1 +#1791: 1 +#1792: 1 +#1793: 1 +#1794: 1 +#1795: 1 +#1796: 1 +#1797: 1 +#1798: 1 +#1799: 1 +#1800: 1 +#1801: 1 +#1802: 1 +#1803: 1 +#1804: 1 +#1805: 1 +#1806: 1 +#1807: 1 +#1808: 1 +#1809: 1 +#1810: 1 +#1811: 1 +#1812: 1 +#1813: 1 +#1814: 1 +#1815: 1 +#1816: 1 +#1817: 1 +#1818: 1 +#1819: 1 +#1820: 1 +#1821: 1 +#1822: 1 +#1823: 1 +#1824: 1 +#1825: 1 +#1826: 1 +#1827: 1 +#1828: 1 +#1829: 1 +#1830: 1 +#1831: 1 +#1832: 1 +#1833: 1 +#1834: 1 +#1835: 1 +#1836: 1 +#1837: 1 +#1838: 1 +#1839: 1 +#1840: 1 +#1841: 1 +#1842: 1 +#1843: 1 +#1844: 1 +#1845: 1 +#1846: 1 +#1847: 1 +#1848: 1 +#1849: 1 +#1850: 1 +#1851: 1 +#1852: 1 +#1853: 1 +#1854: 1 +#1855: 1 +#1856: 1 +#1857: 1 +#1858: 1 +#1859: 1 +#1860: 1 +#1861: 1 +#1862: 1 +#1863: 1 +#1864: 1 +#1865: 1 +#1866: 1 +#1867: 1 +#1868: 1 +#1869: 1 +#1870: 1 +#1871: 1 +#1872: 1 +#1873: 1 +#1874: 1 +#1875: 1 +#1876: 1 +#1877: 1 +#1878: 1 +#1879: 1 +#1880: 1 +#1881: 1 +#1882: 1 +#1883: 1 +#1884: 1 +#1885: 1 +#1886: 1 +#1887: 1 +#1888: 1 +#1889: 1 +#1890: 1 +#1891: 1 +#1892: 1 +#1893: 1 +#1894: 1 +#1895: 1 +#1896: 1 +#1897: 1 +#1898: 1 +#1899: 1 +#1900: 1 +#1901: 1 +#1902: 1 +#1903: 1 +#1904: 1 +#1905: 1 +#1906: 1 +#1907: 1 +#1908: 1 +#1909: 1 +#1910: 1 +#1911: 1 +#1912: 1 +#1913: 1 +#1914: 1 +#1915: 1 +#1916: 1 +#1917: 1 +#1918: 1 +#1919: 1 +#1920: 1 +#1921: 1 +#1922: 1 +#1923: 1 +#1924: 1 +#1925: 1 +#1926: 1 +#1927: 1 +#1928: 1 +#1929: 1 +#1930: 1 +#1931: 1 +#1932: 1 +#1933: 1 +#1934: 1 +#1935: 1 +#1936: 1 +#1937: 1 +#1938: 1 +#1939: 1 +#1940: 1 +#1941: 1 +#1942: 1 +#1943: 1 +#1944: 1 +#1945: 1 +#1946: 1 +#1947: 1 +#1948: 1 +#1949: 1 +#1950: 1 +#1951: 1 +#1952: 1 +#1953: 1 +#1954: 1 +#1955: 1 +#1956: 1 +#1957: 1 +#1958: 1 +#1959: 1 +#1960: 1 +#1961: 1 +#1962: 1 +#1963: 1 +#1964: 1 +#1965: 1 +#1966: 1 +#1967: 1 +#1968: 1 +#1969: 1 +#1970: 1 +#1971: 1 +#1972: 1 +#1973: 1 +#1974: 1 +#1975: 1 +#1976: 1 +#1977: 1 +#1978: 1 +#1979: 1 +#1980: 1 +#1981: 1 +#1982: 1 +#1983: 1 +#1984: 1 +#1985: 1 +#1986: 1 +#1987: 1 +#1988: 1 +#1989: 1 +#1990: 1 +#1991: 1 +#1992: 1 +#1993: 1 +#1994: 1 +#1995: 1 +#1996: 1 +#1997: 1 +#1998: 1 +#1999: 1 +#2000: 1 +#2001: 1 +#2002: 1 +#2003: 1 +#2004: 1 +#2005: 1 +#2006: 1 +#2007: 1 +#2008: 1 +#2009: 1 +#2010: 1 +#2011: 1 +#2012: 1 +#2013: 1 +#2014: 1 +#2015: 1 +#2016: 1 +#2017: true (1) +#2018: '1' (1) +#2019: 's' (115) +#2020: note (0) +#2021: 3 +#2022: 3 +#2023: 3000000 +#2024: 1 +#2025: 1 +#2026: 's' (115) +#2027: 'h' (104) +#2028: 'i' (105) +#2029: 's' (115) +#2030: 'h' (104) +#2031: 'i' (105) +#2032: 'r' (114) +#2033: '0' (0) +#2034: '0' (0) +#2035: '1' (1) +#2036: '1' (1) +#2037: true (1) +#2038: true (1) +#2039: true (1) +#2040: true (1) +#2041: true (1) +#2042: true (1) +#2043: true (1) +#2044: true (1) +#2045: true (1) +#2046: true (1) +#2047: true (1) +#2048: true (1) +#2049: true (1) +#2050: true (1) +#2051: true (1) +#2052: true (1) +#2053: note (0) +#2054: note (0) +#2055: note (0) +#2056: note (0) +#2057: note (0) +#2058: note (0) +#2059: note (0) +#2060: note (0) +#2061: note (0) +#2062: note (0) +#2063: note (0) +#2064: note (0) +#2065: note (0) +#2066: note (0) +#2067: note (0) +#2068: note (0) +#2069: 3 +#2070: 3 +#2071: 3 +#2072: 3 +#2073: 3 +#2074: 3 +#2075: 3 +#2076: 3 +#2077: 3 +#2078: 3 +#2079: 3 +#2080: 3 +#2081: 3 +#2082: 3 +#2083: 3 +#2084: 3 +#2085: 3 +#2086: 3 +#2087: 3 +#2088: 3 +#2089: 3 +#2090: 3 +#2091: 3 +#2092: 3 +#2093: 3 +#2094: 3 +#2095: 3 +#2096: 3 +#2097: 3 +#2098: 3 +#2099: 3 +#2100: 3 +#2101: 3000000 +#2102: 3000000 +#2103: 3000000 +#2104: 3000000 +#2105: 3000000 +#2106: 3000000 +#2107: 3000000 +#2108: 3000000 +#2109: 3000000 +#2110: 3000000 +#2111: 3000000 +#2112: 3000000 +#2113: 3000000 +#2114: 3000000 +#2115: 3000000 +#2116: 3000000 +#2117: 1 +#2118: 1 +#2119: 1 +#2120: 1 +#2121: 1 +#2122: 1 +#2123: 1 +#2124: 1 +#2125: 1 +#2126: 1 +#2127: 1 +#2128: 1 +#2129: 1 +#2130: 1 +#2131: 1 +#2132: 1 +#2133: 1 +#2134: 1 +#2135: 1 +#2136: 1 +#2137: 1 +#2138: 1 +#2139: 1 +#2140: 1 +#2141: 1 +#2142: 1 +#2143: 1 +#2144: 1 +#2145: 1 +#2146: 1 +#2147: 1 +#2148: 1 +#2149: true (1) +#2150: true (1) +#2151: true (1) +#2152: true (1) +#2153: true (1) +#2154: true (1) +#2155: true (1) +#2156: true (1) +#2157: true (1) +#2158: true (1) +#2159: true (1) +#2160: true (1) +#2161: true (1) +#2162: true (1) +#2163: true (1) +#2164: true (1) +#2165: true (1) +#2166: true (1) +#2167: true (1) +#2168: true (1) +#2169: true (1) +#2170: true (1) +#2171: true (1) +#2172: true (1) +#2173: true (1) +#2174: true (1) +#2175: true (1) +#2176: true (1) +#2177: true (1) +#2178: true (1) +#2179: true (1) +#2180: true (1) +#2181: true (1) +#2182: true (1) +#2183: true (1) +#2184: true (1) +#2185: true (1) +#2186: true (1) +#2187: true (1) +#2188: true (1) +#2189: true (1) +#2190: true (1) +#2191: true (1) +#2192: true (1) +#2193: true (1) +#2194: true (1) +#2195: true (1) +#2196: true (1) +#2197: true (1) +#2198: true (1) +#2199: true (1) +#2200: true (1) +#2201: true (1) +#2202: true (1) +#2203: true (1) +#2204: true (1) +#2205: true (1) +#2206: true (1) +#2207: true (1) +#2208: true (1) +#2209: true (1) +#2210: true (1) +#2211: true (1) +#2212: true (1) +#2213: true (1) +#2214: true (1) +#2215: true (1) +#2216: true (1) +#2217: true (1) +#2218: true (1) +#2219: true (1) +#2220: true (1) +#2221: true (1) +#2222: true (1) +#2223: true (1) +#2224: true (1) +#2225: true (1) +#2226: true (1) +#2227: true (1) +#2228: true (1) +#2229: true (1) +#2230: true (1) +#2231: true (1) +#2232: true (1) +#2233: true (1) +#2234: true (1) +#2235: true (1) +#2236: true (1) +#2237: true (1) +#2238: true (1) +#2239: true (1) +#2240: true (1) +#2241: true (1) +#2242: true (1) +#2243: true (1) +#2244: true (1) +#2245: true (1) +#2246: true (1) +#2247: true (1) +#2248: true (1) +#2249: true (1) +#2250: true (1) +#2251: true (1) +#2252: true (1) +#2253: true (1) +#2254: true (1) +#2255: true (1) +#2256: true (1) +#2257: true (1) +#2258: true (1) +#2259: true (1) +#2260: true (1) +#2261: true (1) +#2262: true (1) +#2263: true (1) +#2264: true (1) +#2265: true (1) +#2266: true (1) +#2267: true (1) +#2268: true (1) +#2269: true (1) +#2270: true (1) +#2271: true (1) +#2272: true (1) +#2273: true (1) +#2274: true (1) +#2275: true (1) +#2276: true (1) +#2277: true (1) +#2278: true (1) +#2279: true (1) +#2280: true (1) +#2281: true (1) +#2282: true (1) +#2283: true (1) +#2284: true (1) +#2285: true (1) +#2286: true (1) +#2287: true (1) +#2288: true (1) +#2289: true (1) +#2290: true (1) +#2291: true (1) +#2292: true (1) +#2293: true (1) +#2294: true (1) +#2295: true (1) +#2296: true (1) +#2297: true (1) +#2298: true (1) +#2299: true (1) +#2300: true (1) +#2301: true (1) +#2302: true (1) +#2303: true (1) +#2304: true (1) +#2305: true (1) +#2306: true (1) +#2307: true (1) +#2308: true (1) +#2309: true (1) +#2310: true (1) +#2311: true (1) +#2312: true (1) +#2313: true (1) +#2314: true (1) +#2315: true (1) +#2316: true (1) +#2317: true (1) +#2318: true (1) +#2319: true (1) +#2320: true (1) +#2321: true (1) +#2322: true (1) +#2323: true (1) +#2324: true (1) +#2325: true (1) +#2326: true (1) +#2327: true (1) +#2328: true (1) +#2329: true (1) +#2330: true (1) +#2331: true (1) +#2332: true (1) +#2333: true (1) +#2334: true (1) +#2335: true (1) +#2336: true (1) +#2337: true (1) +#2338: true (1) +#2339: true (1) +#2340: true (1) +#2341: true (1) +#2342: true (1) +#2343: true (1) +#2344: true (1) +#2345: true (1) +#2346: true (1) +#2347: true (1) +#2348: true (1) +#2349: true (1) +#2350: true (1) +#2351: true (1) +#2352: true (1) +#2353: true (1) +#2354: true (1) +#2355: true (1) +#2356: true (1) +#2357: true (1) +#2358: true (1) +#2359: true (1) +#2360: true (1) +#2361: true (1) +#2362: true (1) +#2363: true (1) +#2364: true (1) +#2365: true (1) +#2366: true (1) +#2367: true (1) +#2368: true (1) +#2369: true (1) +#2370: true (1) +#2371: true (1) +#2372: true (1) +#2373: true (1) +#2374: true (1) +#2375: true (1) +#2376: true (1) +#2377: true (1) +#2378: true (1) +#2379: true (1) +#2380: true (1) +#2381: true (1) +#2382: true (1) +#2383: true (1) +#2384: true (1) +#2385: true (1) +#2386: true (1) +#2387: true (1) +#2388: true (1) +#2389: true (1) +#2390: true (1) +#2391: true (1) +#2392: true (1) +#2393: true (1) +#2394: true (1) +#2395: true (1) +#2396: true (1) +#2397: true (1) +#2398: true (1) +#2399: true (1) +#2400: true (1) +#2401: true (1) +#2402: true (1) +#2403: true (1) +#2404: true (1) +#2405: note (0) +#2406: note (0) +#2407: note (0) +#2408: note (0) +#2409: note (0) +#2410: note (0) +#2411: note (0) +#2412: note (0) +#2413: note (0) +#2414: note (0) +#2415: note (0) +#2416: note (0) +#2417: note (0) +#2418: note (0) +#2419: note (0) +#2420: note (0) +#2421: note (0) +#2422: note (0) +#2423: note (0) +#2424: note (0) +#2425: note (0) +#2426: note (0) +#2427: note (0) +#2428: note (0) +#2429: note (0) +#2430: note (0) +#2431: note (0) +#2432: note (0) +#2433: note (0) +#2434: note (0) +#2435: note (0) +#2436: note (0) +#2437: note (0) +#2438: note (0) +#2439: note (0) +#2440: note (0) +#2441: note (0) +#2442: note (0) +#2443: note (0) +#2444: note (0) +#2445: note (0) +#2446: note (0) +#2447: note (0) +#2448: note (0) +#2449: note (0) +#2450: note (0) +#2451: note (0) +#2452: note (0) +#2453: note (0) +#2454: note (0) +#2455: note (0) +#2456: note (0) +#2457: note (0) +#2458: note (0) +#2459: note (0) +#2460: note (0) +#2461: note (0) +#2462: note (0) +#2463: note (0) +#2464: note (0) +#2465: note (0) +#2466: note (0) +#2467: note (0) +#2468: note (0) +#2469: note (0) +#2470: note (0) +#2471: note (0) +#2472: note (0) +#2473: note (0) +#2474: note (0) +#2475: note (0) +#2476: note (0) +#2477: note (0) +#2478: note (0) +#2479: note (0) +#2480: note (0) +#2481: note (0) +#2482: note (0) +#2483: note (0) +#2484: note (0) +#2485: note (0) +#2486: note (0) +#2487: note (0) +#2488: note (0) +#2489: note (0) +#2490: note (0) +#2491: note (0) +#2492: note (0) +#2493: note (0) +#2494: note (0) +#2495: note (0) +#2496: note (0) +#2497: note (0) +#2498: note (0) +#2499: note (0) +#2500: note (0) +#2501: note (0) +#2502: note (0) +#2503: note (0) +#2504: note (0) +#2505: note (0) +#2506: note (0) +#2507: note (0) +#2508: note (0) +#2509: note (0) +#2510: note (0) +#2511: note (0) +#2512: note (0) +#2513: note (0) +#2514: note (0) +#2515: note (0) +#2516: note (0) +#2517: note (0) +#2518: note (0) +#2519: note (0) +#2520: note (0) +#2521: note (0) +#2522: note (0) +#2523: note (0) +#2524: note (0) +#2525: note (0) +#2526: note (0) +#2527: note (0) +#2528: note (0) +#2529: note (0) +#2530: note (0) +#2531: note (0) +#2532: note (0) +#2533: note (0) +#2534: note (0) +#2535: note (0) +#2536: note (0) +#2537: note (0) +#2538: note (0) +#2539: note (0) +#2540: note (0) +#2541: note (0) +#2542: note (0) +#2543: note (0) +#2544: note (0) +#2545: note (0) +#2546: note (0) +#2547: note (0) +#2548: note (0) +#2549: note (0) +#2550: note (0) +#2551: note (0) +#2552: note (0) +#2553: note (0) +#2554: note (0) +#2555: note (0) +#2556: note (0) +#2557: note (0) +#2558: note (0) +#2559: note (0) +#2560: note (0) +#2561: note (0) +#2562: note (0) +#2563: note (0) +#2564: note (0) +#2565: note (0) +#2566: note (0) +#2567: note (0) +#2568: note (0) +#2569: note (0) +#2570: note (0) +#2571: note (0) +#2572: note (0) +#2573: note (0) +#2574: note (0) +#2575: note (0) +#2576: note (0) +#2577: note (0) +#2578: note (0) +#2579: note (0) +#2580: note (0) +#2581: note (0) +#2582: note (0) +#2583: note (0) +#2584: note (0) +#2585: note (0) +#2586: note (0) +#2587: note (0) +#2588: note (0) +#2589: note (0) +#2590: note (0) +#2591: note (0) +#2592: note (0) +#2593: note (0) +#2594: note (0) +#2595: note (0) +#2596: note (0) +#2597: note (0) +#2598: note (0) +#2599: note (0) +#2600: note (0) +#2601: note (0) +#2602: note (0) +#2603: note (0) +#2604: note (0) +#2605: note (0) +#2606: note (0) +#2607: note (0) +#2608: note (0) +#2609: note (0) +#2610: note (0) +#2611: note (0) +#2612: note (0) +#2613: note (0) +#2614: note (0) +#2615: note (0) +#2616: note (0) +#2617: note (0) +#2618: note (0) +#2619: note (0) +#2620: note (0) +#2621: note (0) +#2622: note (0) +#2623: note (0) +#2624: note (0) +#2625: note (0) +#2626: note (0) +#2627: note (0) +#2628: note (0) +#2629: note (0) +#2630: note (0) +#2631: note (0) +#2632: note (0) +#2633: note (0) +#2634: note (0) +#2635: note (0) +#2636: note (0) +#2637: note (0) +#2638: note (0) +#2639: note (0) +#2640: note (0) +#2641: note (0) +#2642: note (0) +#2643: note (0) +#2644: note (0) +#2645: note (0) +#2646: note (0) +#2647: note (0) +#2648: note (0) +#2649: note (0) +#2650: note (0) +#2651: note (0) +#2652: note (0) +#2653: note (0) +#2654: note (0) +#2655: note (0) +#2656: note (0) +#2657: note (0) +#2658: note (0) +#2659: note (0) +#2660: note (0) +#2661: 3 +#2662: 3 +#2663: 3 +#2664: 3 +#2665: 3 +#2666: 3 +#2667: 3 +#2668: 3 +#2669: 3 +#2670: 3 +#2671: 3 +#2672: 3 +#2673: 3 +#2674: 3 +#2675: 3 +#2676: 3 +#2677: 3 +#2678: 3 +#2679: 3 +#2680: 3 +#2681: 3 +#2682: 3 +#2683: 3 +#2684: 3 +#2685: 3 +#2686: 3 +#2687: 3 +#2688: 3 +#2689: 3 +#2690: 3 +#2691: 3 +#2692: 3 +#2693: 3 +#2694: 3 +#2695: 3 +#2696: 3 +#2697: 3 +#2698: 3 +#2699: 3 +#2700: 3 +#2701: 3 +#2702: 3 +#2703: 3 +#2704: 3 +#2705: 3 +#2706: 3 +#2707: 3 +#2708: 3 +#2709: 3 +#2710: 3 +#2711: 3 +#2712: 3 +#2713: 3 +#2714: 3 +#2715: 3 +#2716: 3 +#2717: 3 +#2718: 3 +#2719: 3 +#2720: 3 +#2721: 3 +#2722: 3 +#2723: 3 +#2724: 3 +#2725: 3 +#2726: 3 +#2727: 3 +#2728: 3 +#2729: 3 +#2730: 3 +#2731: 3 +#2732: 3 +#2733: 3 +#2734: 3 +#2735: 3 +#2736: 3 +#2737: 3 +#2738: 3 +#2739: 3 +#2740: 3 +#2741: 3 +#2742: 3 +#2743: 3 +#2744: 3 +#2745: 3 +#2746: 3 +#2747: 3 +#2748: 3 +#2749: 3 +#2750: 3 +#2751: 3 +#2752: 3 +#2753: 3 +#2754: 3 +#2755: 3 +#2756: 3 +#2757: 3 +#2758: 3 +#2759: 3 +#2760: 3 +#2761: 3 +#2762: 3 +#2763: 3 +#2764: 3 +#2765: 3 +#2766: 3 +#2767: 3 +#2768: 3 +#2769: 3 +#2770: 3 +#2771: 3 +#2772: 3 +#2773: 3 +#2774: 3 +#2775: 3 +#2776: 3 +#2777: 3 +#2778: 3 +#2779: 3 +#2780: 3 +#2781: 3 +#2782: 3 +#2783: 3 +#2784: 3 +#2785: 3 +#2786: 3 +#2787: 3 +#2788: 3 +#2789: 3 +#2790: 3 +#2791: 3 +#2792: 3 +#2793: 3 +#2794: 3 +#2795: 3 +#2796: 3 +#2797: 3 +#2798: 3 +#2799: 3 +#2800: 3 +#2801: 3 +#2802: 3 +#2803: 3 +#2804: 3 +#2805: 3 +#2806: 3 +#2807: 3 +#2808: 3 +#2809: 3 +#2810: 3 +#2811: 3 +#2812: 3 +#2813: 3 +#2814: 3 +#2815: 3 +#2816: 3 +#2817: 3 +#2818: 3 +#2819: 3 +#2820: 3 +#2821: 3 +#2822: 3 +#2823: 3 +#2824: 3 +#2825: 3 +#2826: 3 +#2827: 3 +#2828: 3 +#2829: 3 +#2830: 3 +#2831: 3 +#2832: 3 +#2833: 3 +#2834: 3 +#2835: 3 +#2836: 3 +#2837: 3 +#2838: 3 +#2839: 3 +#2840: 3 +#2841: 3 +#2842: 3 +#2843: 3 +#2844: 3 +#2845: 3 +#2846: 3 +#2847: 3 +#2848: 3 +#2849: 3 +#2850: 3 +#2851: 3 +#2852: 3 +#2853: 3 +#2854: 3 +#2855: 3 +#2856: 3 +#2857: 3 +#2858: 3 +#2859: 3 +#2860: 3 +#2861: 3 +#2862: 3 +#2863: 3 +#2864: 3 +#2865: 3 +#2866: 3 +#2867: 3 +#2868: 3 +#2869: 3 +#2870: 3 +#2871: 3 +#2872: 3 +#2873: 3 +#2874: 3 +#2875: 3 +#2876: 3 +#2877: 3 +#2878: 3 +#2879: 3 +#2880: 3 +#2881: 3 +#2882: 3 +#2883: 3 +#2884: 3 +#2885: 3 +#2886: 3 +#2887: 3 +#2888: 3 +#2889: 3 +#2890: 3 +#2891: 3 +#2892: 3 +#2893: 3 +#2894: 3 +#2895: 3 +#2896: 3 +#2897: 3 +#2898: 3 +#2899: 3 +#2900: 3 +#2901: 3 +#2902: 3 +#2903: 3 +#2904: 3 +#2905: 3 +#2906: 3 +#2907: 3 +#2908: 3 +#2909: 3 +#2910: 3 +#2911: 3 +#2912: 3 +#2913: 3 +#2914: 3 +#2915: 3 +#2916: 3 +#2917: 3 +#2918: 3 +#2919: 3 +#2920: 3 +#2921: 3 +#2922: 3 +#2923: 3 +#2924: 3 +#2925: 3 +#2926: 3 +#2927: 3 +#2928: 3 +#2929: 3 +#2930: 3 +#2931: 3 +#2932: 3 +#2933: 3 +#2934: 3 +#2935: 3 +#2936: 3 +#2937: 3 +#2938: 3 +#2939: 3 +#2940: 3 +#2941: 3 +#2942: 3 +#2943: 3 +#2944: 3 +#2945: 3 +#2946: 3 +#2947: 3 +#2948: 3 +#2949: 3 +#2950: 3 +#2951: 3 +#2952: 3 +#2953: 3 +#2954: 3 +#2955: 3 +#2956: 3 +#2957: 3 +#2958: 3 +#2959: 3 +#2960: 3 +#2961: 3 +#2962: 3 +#2963: 3 +#2964: 3 +#2965: 3 +#2966: 3 +#2967: 3 +#2968: 3 +#2969: 3 +#2970: 3 +#2971: 3 +#2972: 3 +#2973: 3 +#2974: 3 +#2975: 3 +#2976: 3 +#2977: 3 +#2978: 3 +#2979: 3 +#2980: 3 +#2981: 3 +#2982: 3 +#2983: 3 +#2984: 3 +#2985: 3 +#2986: 3 +#2987: 3 +#2988: 3 +#2989: 3 +#2990: 3 +#2991: 3 +#2992: 3 +#2993: 3 +#2994: 3 +#2995: 3 +#2996: 3 +#2997: 3 +#2998: 3 +#2999: 3 +#3000: 3 +#3001: 3 +#3002: 3 +#3003: 3 +#3004: 3 +#3005: 3 +#3006: 3 +#3007: 3 +#3008: 3 +#3009: 3 +#3010: 3 +#3011: 3 +#3012: 3 +#3013: 3 +#3014: 3 +#3015: 3 +#3016: 3 +#3017: 3 +#3018: 3 +#3019: 3 +#3020: 3 +#3021: 3 +#3022: 3 +#3023: 3 +#3024: 3 +#3025: 3 +#3026: 3 +#3027: 3 +#3028: 3 +#3029: 3 +#3030: 3 +#3031: 3 +#3032: 3 +#3033: 3 +#3034: 3 +#3035: 3 +#3036: 3 +#3037: 3 +#3038: 3 +#3039: 3 +#3040: 3 +#3041: 3 +#3042: 3 +#3043: 3 +#3044: 3 +#3045: 3 +#3046: 3 +#3047: 3 +#3048: 3 +#3049: 3 +#3050: 3 +#3051: 3 +#3052: 3 +#3053: 3 +#3054: 3 +#3055: 3 +#3056: 3 +#3057: 3 +#3058: 3 +#3059: 3 +#3060: 3 +#3061: 3 +#3062: 3 +#3063: 3 +#3064: 3 +#3065: 3 +#3066: 3 +#3067: 3 +#3068: 3 +#3069: 3 +#3070: 3 +#3071: 3 +#3072: 3 +#3073: 3 +#3074: 3 +#3075: 3 +#3076: 3 +#3077: 3 +#3078: 3 +#3079: 3 +#3080: 3 +#3081: 3 +#3082: 3 +#3083: 3 +#3084: 3 +#3085: 3 +#3086: 3 +#3087: 3 +#3088: 3 +#3089: 3 +#3090: 3 +#3091: 3 +#3092: 3 +#3093: 3 +#3094: 3 +#3095: 3 +#3096: 3 +#3097: 3 +#3098: 3 +#3099: 3 +#3100: 3 +#3101: 3 +#3102: 3 +#3103: 3 +#3104: 3 +#3105: 3 +#3106: 3 +#3107: 3 +#3108: 3 +#3109: 3 +#3110: 3 +#3111: 3 +#3112: 3 +#3113: 3 +#3114: 3 +#3115: 3 +#3116: 3 +#3117: 3 +#3118: 3 +#3119: 3 +#3120: 3 +#3121: 3 +#3122: 3 +#3123: 3 +#3124: 3 +#3125: 3 +#3126: 3 +#3127: 3 +#3128: 3 +#3129: 3 +#3130: 3 +#3131: 3 +#3132: 3 +#3133: 3 +#3134: 3 +#3135: 3 +#3136: 3 +#3137: 3 +#3138: 3 +#3139: 3 +#3140: 3 +#3141: 3 +#3142: 3 +#3143: 3 +#3144: 3 +#3145: 3 +#3146: 3 +#3147: 3 +#3148: 3 +#3149: 3 +#3150: 3 +#3151: 3 +#3152: 3 +#3153: 3 +#3154: 3 +#3155: 3 +#3156: 3 +#3157: 3 +#3158: 3 +#3159: 3 +#3160: 3 +#3161: 3 +#3162: 3 +#3163: 3 +#3164: 3 +#3165: 3 +#3166: 3 +#3167: 3 +#3168: 3 +#3169: 3 +#3170: 3 +#3171: 3 +#3172: 3 +#3173: 3000000 +#3174: 3000000 +#3175: 3000000 +#3176: 3000000 +#3177: 3000000 +#3178: 3000000 +#3179: 3000000 +#3180: 3000000 +#3181: 3000000 +#3182: 3000000 +#3183: 3000000 +#3184: 3000000 +#3185: 3000000 +#3186: 3000000 +#3187: 3000000 +#3188: 3000000 +#3189: 3000000 +#3190: 3000000 +#3191: 3000000 +#3192: 3000000 +#3193: 3000000 +#3194: 3000000 +#3195: 3000000 +#3196: 3000000 +#3197: 3000000 +#3198: 3000000 +#3199: 3000000 +#3200: 3000000 +#3201: 3000000 +#3202: 3000000 +#3203: 3000000 +#3204: 3000000 +#3205: 3000000 +#3206: 3000000 +#3207: 3000000 +#3208: 3000000 +#3209: 3000000 +#3210: 3000000 +#3211: 3000000 +#3212: 3000000 +#3213: 3000000 +#3214: 3000000 +#3215: 3000000 +#3216: 3000000 +#3217: 3000000 +#3218: 3000000 +#3219: 3000000 +#3220: 3000000 +#3221: 3000000 +#3222: 3000000 +#3223: 3000000 +#3224: 3000000 +#3225: 3000000 +#3226: 3000000 +#3227: 3000000 +#3228: 3000000 +#3229: 3000000 +#3230: 3000000 +#3231: 3000000 +#3232: 3000000 +#3233: 3000000 +#3234: 3000000 +#3235: 3000000 +#3236: 3000000 +#3237: 3000000 +#3238: 3000000 +#3239: 3000000 +#3240: 3000000 +#3241: 3000000 +#3242: 3000000 +#3243: 3000000 +#3244: 3000000 +#3245: 3000000 +#3246: 3000000 +#3247: 3000000 +#3248: 3000000 +#3249: 3000000 +#3250: 3000000 +#3251: 3000000 +#3252: 3000000 +#3253: 3000000 +#3254: 3000000 +#3255: 3000000 +#3256: 3000000 +#3257: 3000000 +#3258: 3000000 +#3259: 3000000 +#3260: 3000000 +#3261: 3000000 +#3262: 3000000 +#3263: 3000000 +#3264: 3000000 +#3265: 3000000 +#3266: 3000000 +#3267: 3000000 +#3268: 3000000 +#3269: 3000000 +#3270: 3000000 +#3271: 3000000 +#3272: 3000000 +#3273: 3000000 +#3274: 3000000 +#3275: 3000000 +#3276: 3000000 +#3277: 3000000 +#3278: 3000000 +#3279: 3000000 +#3280: 3000000 +#3281: 3000000 +#3282: 3000000 +#3283: 3000000 +#3284: 3000000 +#3285: 3000000 +#3286: 3000000 +#3287: 3000000 +#3288: 3000000 +#3289: 3000000 +#3290: 3000000 +#3291: 3000000 +#3292: 3000000 +#3293: 3000000 +#3294: 3000000 +#3295: 3000000 +#3296: 3000000 +#3297: 3000000 +#3298: 3000000 +#3299: 3000000 +#3300: 3000000 +#3301: 3000000 +#3302: 3000000 +#3303: 3000000 +#3304: 3000000 +#3305: 3000000 +#3306: 3000000 +#3307: 3000000 +#3308: 3000000 +#3309: 3000000 +#3310: 3000000 +#3311: 3000000 +#3312: 3000000 +#3313: 3000000 +#3314: 3000000 +#3315: 3000000 +#3316: 3000000 +#3317: 3000000 +#3318: 3000000 +#3319: 3000000 +#3320: 3000000 +#3321: 3000000 +#3322: 3000000 +#3323: 3000000 +#3324: 3000000 +#3325: 3000000 +#3326: 3000000 +#3327: 3000000 +#3328: 3000000 +#3329: 3000000 +#3330: 3000000 +#3331: 3000000 +#3332: 3000000 +#3333: 3000000 +#3334: 3000000 +#3335: 3000000 +#3336: 3000000 +#3337: 3000000 +#3338: 3000000 +#3339: 3000000 +#3340: 3000000 +#3341: 3000000 +#3342: 3000000 +#3343: 3000000 +#3344: 3000000 +#3345: 3000000 +#3346: 3000000 +#3347: 3000000 +#3348: 3000000 +#3349: 3000000 +#3350: 3000000 +#3351: 3000000 +#3352: 3000000 +#3353: 3000000 +#3354: 3000000 +#3355: 3000000 +#3356: 3000000 +#3357: 3000000 +#3358: 3000000 +#3359: 3000000 +#3360: 3000000 +#3361: 3000000 +#3362: 3000000 +#3363: 3000000 +#3364: 3000000 +#3365: 3000000 +#3366: 3000000 +#3367: 3000000 +#3368: 3000000 +#3369: 3000000 +#3370: 3000000 +#3371: 3000000 +#3372: 3000000 +#3373: 3000000 +#3374: 3000000 +#3375: 3000000 +#3376: 3000000 +#3377: 3000000 +#3378: 3000000 +#3379: 3000000 +#3380: 3000000 +#3381: 3000000 +#3382: 3000000 +#3383: 3000000 +#3384: 3000000 +#3385: 3000000 +#3386: 3000000 +#3387: 3000000 +#3388: 3000000 +#3389: 3000000 +#3390: 3000000 +#3391: 3000000 +#3392: 3000000 +#3393: 3000000 +#3394: 3000000 +#3395: 3000000 +#3396: 3000000 +#3397: 3000000 +#3398: 3000000 +#3399: 3000000 +#3400: 3000000 +#3401: 3000000 +#3402: 3000000 +#3403: 3000000 +#3404: 3000000 +#3405: 3000000 +#3406: 3000000 +#3407: 3000000 +#3408: 3000000 +#3409: 3000000 +#3410: 3000000 +#3411: 3000000 +#3412: 3000000 +#3413: 3000000 +#3414: 3000000 +#3415: 3000000 +#3416: 3000000 +#3417: 3000000 +#3418: 3000000 +#3419: 3000000 +#3420: 3000000 +#3421: 3000000 +#3422: 3000000 +#3423: 3000000 +#3424: 3000000 +#3425: 3000000 +#3426: 3000000 +#3427: 3000000 +#3428: 3000000 +#3429: 1 +#3430: 1 +#3431: 1 +#3432: 1 +#3433: 1 +#3434: 1 +#3435: 1 +#3436: 1 +#3437: 1 +#3438: 1 +#3439: 1 +#3440: 1 +#3441: 1 +#3442: 1 +#3443: 1 +#3444: 1 +#3445: 1 +#3446: 1 +#3447: 1 +#3448: 1 +#3449: 1 +#3450: 1 +#3451: 1 +#3452: 1 +#3453: 1 +#3454: 1 +#3455: 1 +#3456: 1 +#3457: 1 +#3458: 1 +#3459: 1 +#3460: 1 +#3461: 1 +#3462: 1 +#3463: 1 +#3464: 1 +#3465: 1 +#3466: 1 +#3467: 1 +#3468: 1 +#3469: 1 +#3470: 1 +#3471: 1 +#3472: 1 +#3473: 1 +#3474: 1 +#3475: 1 +#3476: 1 +#3477: 1 +#3478: 1 +#3479: 1 +#3480: 1 +#3481: 1 +#3482: 1 +#3483: 1 +#3484: 1 +#3485: 1 +#3486: 1 +#3487: 1 +#3488: 1 +#3489: 1 +#3490: 1 +#3491: 1 +#3492: 1 +#3493: 1 +#3494: 1 +#3495: 1 +#3496: 1 +#3497: 1 +#3498: 1 +#3499: 1 +#3500: 1 +#3501: 1 +#3502: 1 +#3503: 1 +#3504: 1 +#3505: 1 +#3506: 1 +#3507: 1 +#3508: 1 +#3509: 1 +#3510: 1 +#3511: 1 +#3512: 1 +#3513: 1 +#3514: 1 +#3515: 1 +#3516: 1 +#3517: 1 +#3518: 1 +#3519: 1 +#3520: 1 +#3521: 1 +#3522: 1 +#3523: 1 +#3524: 1 +#3525: 1 +#3526: 1 +#3527: 1 +#3528: 1 +#3529: 1 +#3530: 1 +#3531: 1 +#3532: 1 +#3533: 1 +#3534: 1 +#3535: 1 +#3536: 1 +#3537: 1 +#3538: 1 +#3539: 1 +#3540: 1 +#3541: 1 +#3542: 1 +#3543: 1 +#3544: 1 +#3545: 1 +#3546: 1 +#3547: 1 +#3548: 1 +#3549: 1 +#3550: 1 +#3551: 1 +#3552: 1 +#3553: 1 +#3554: 1 +#3555: 1 +#3556: 1 +#3557: 1 +#3558: 1 +#3559: 1 +#3560: 1 +#3561: 1 +#3562: 1 +#3563: 1 +#3564: 1 +#3565: 1 +#3566: 1 +#3567: 1 +#3568: 1 +#3569: 1 +#3570: 1 +#3571: 1 +#3572: 1 +#3573: 1 +#3574: 1 +#3575: 1 +#3576: 1 +#3577: 1 +#3578: 1 +#3579: 1 +#3580: 1 +#3581: 1 +#3582: 1 +#3583: 1 +#3584: 1 +#3585: 1 +#3586: 1 +#3587: 1 +#3588: 1 +#3589: 1 +#3590: 1 +#3591: 1 +#3592: 1 +#3593: 1 +#3594: 1 +#3595: 1 +#3596: 1 +#3597: 1 +#3598: 1 +#3599: 1 +#3600: 1 +#3601: 1 +#3602: 1 +#3603: 1 +#3604: 1 +#3605: 1 +#3606: 1 +#3607: 1 +#3608: 1 +#3609: 1 +#3610: 1 +#3611: 1 +#3612: 1 +#3613: 1 +#3614: 1 +#3615: 1 +#3616: 1 +#3617: 1 +#3618: 1 +#3619: 1 +#3620: 1 +#3621: 1 +#3622: 1 +#3623: 1 +#3624: 1 +#3625: 1 +#3626: 1 +#3627: 1 +#3628: 1 +#3629: 1 +#3630: 1 +#3631: 1 +#3632: 1 +#3633: 1 +#3634: 1 +#3635: 1 +#3636: 1 +#3637: 1 +#3638: 1 +#3639: 1 +#3640: 1 +#3641: 1 +#3642: 1 +#3643: 1 +#3644: 1 +#3645: 1 +#3646: 1 +#3647: 1 +#3648: 1 +#3649: 1 +#3650: 1 +#3651: 1 +#3652: 1 +#3653: 1 +#3654: 1 +#3655: 1 +#3656: 1 +#3657: 1 +#3658: 1 +#3659: 1 +#3660: 1 +#3661: 1 +#3662: 1 +#3663: 1 +#3664: 1 +#3665: 1 +#3666: 1 +#3667: 1 +#3668: 1 +#3669: 1 +#3670: 1 +#3671: 1 +#3672: 1 +#3673: 1 +#3674: 1 +#3675: 1 +#3676: 1 +#3677: 1 +#3678: 1 +#3679: 1 +#3680: 1 +#3681: 1 +#3682: 1 +#3683: 1 +#3684: 1 +#3685: 1 +#3686: 1 +#3687: 1 +#3688: 1 +#3689: 1 +#3690: 1 +#3691: 1 +#3692: 1 +#3693: 1 +#3694: 1 +#3695: 1 +#3696: 1 +#3697: 1 +#3698: 1 +#3699: 1 +#3700: 1 +#3701: 1 +#3702: 1 +#3703: 1 +#3704: 1 +#3705: 1 +#3706: 1 +#3707: 1 +#3708: 1 +#3709: 1 +#3710: 1 +#3711: 1 +#3712: 1 +#3713: 1 +#3714: 1 +#3715: 1 +#3716: 1 +#3717: 1 +#3718: 1 +#3719: 1 +#3720: 1 +#3721: 1 +#3722: 1 +#3723: 1 +#3724: 1 +#3725: 1 +#3726: 1 +#3727: 1 +#3728: 1 +#3729: 1 +#3730: 1 +#3731: 1 +#3732: 1 +#3733: 1 +#3734: 1 +#3735: 1 +#3736: 1 +#3737: 1 +#3738: 1 +#3739: 1 +#3740: 1 +#3741: 1 +#3742: 1 +#3743: 1 +#3744: 1 +#3745: 1 +#3746: 1 +#3747: 1 +#3748: 1 +#3749: 1 +#3750: 1 +#3751: 1 +#3752: 1 +#3753: 1 +#3754: 1 +#3755: 1 +#3756: 1 +#3757: 1 +#3758: 1 +#3759: 1 +#3760: 1 +#3761: 1 +#3762: 1 +#3763: 1 +#3764: 1 +#3765: 1 +#3766: 1 +#3767: 1 +#3768: 1 +#3769: 1 +#3770: 1 +#3771: 1 +#3772: 1 +#3773: 1 +#3774: 1 +#3775: 1 +#3776: 1 +#3777: 1 +#3778: 1 +#3779: 1 +#3780: 1 +#3781: 1 +#3782: 1 +#3783: 1 +#3784: 1 +#3785: 1 +#3786: 1 +#3787: 1 +#3788: 1 +#3789: 1 +#3790: 1 +#3791: 1 +#3792: 1 +#3793: 1 +#3794: 1 +#3795: 1 +#3796: 1 +#3797: 1 +#3798: 1 +#3799: 1 +#3800: 1 +#3801: 1 +#3802: 1 +#3803: 1 +#3804: 1 +#3805: 1 +#3806: 1 +#3807: 1 +#3808: 1 +#3809: 1 +#3810: 1 +#3811: 1 +#3812: 1 +#3813: 1 +#3814: 1 +#3815: 1 +#3816: 1 +#3817: 1 +#3818: 1 +#3819: 1 +#3820: 1 +#3821: 1 +#3822: 1 +#3823: 1 +#3824: 1 +#3825: 1 +#3826: 1 +#3827: 1 +#3828: 1 +#3829: 1 +#3830: 1 +#3831: 1 +#3832: 1 +#3833: 1 +#3834: 1 +#3835: 1 +#3836: 1 +#3837: 1 +#3838: 1 +#3839: 1 +#3840: 1 +#3841: 1 +#3842: 1 +#3843: 1 +#3844: 1 +#3845: 1 +#3846: 1 +#3847: 1 +#3848: 1 +#3849: 1 +#3850: 1 +#3851: 1 +#3852: 1 +#3853: 1 +#3854: 1 +#3855: 1 +#3856: 1 +#3857: 1 +#3858: 1 +#3859: 1 +#3860: 1 +#3861: 1 +#3862: 1 +#3863: 1 +#3864: 1 +#3865: 1 +#3866: 1 +#3867: 1 +#3868: 1 +#3869: 1 +#3870: 1 +#3871: 1 +#3872: 1 +#3873: 1 +#3874: 1 +#3875: 1 +#3876: 1 +#3877: 1 +#3878: 1 +#3879: 1 +#3880: 1 +#3881: 1 +#3882: 1 +#3883: 1 +#3884: 1 +#3885: 1 +#3886: 1 +#3887: 1 +#3888: 1 +#3889: 1 +#3890: 1 +#3891: 1 +#3892: 1 +#3893: 1 +#3894: 1 +#3895: 1 +#3896: 1 +#3897: 1 +#3898: 1 +#3899: 1 +#3900: 1 +#3901: 1 +#3902: 1 +#3903: 1 +#3904: 1 +#3905: 1 +#3906: 1 +#3907: 1 +#3908: 1 +#3909: 1 +#3910: 1 +#3911: 1 +#3912: 1 +#3913: 1 +#3914: 1 +#3915: 1 +#3916: 1 +#3917: 1 +#3918: 1 +#3919: 1 +#3920: 1 +#3921: 1 +#3922: 1 +#3923: 1 +#3924: 1 +#3925: 1 +#3926: 1 +#3927: 1 +#3928: 1 +#3929: 1 +#3930: 1 +#3931: 1 +#3932: 1 +#3933: 1 +#3934: 1 +#3935: 1 +#3936: 1 +#3937: 1 +#3938: 1 +#3939: 1 +#3940: 1 +#3941: true (1) +#3942: true (1) +#3943: true (1) +#3944: true (1) +#3945: true (1) +#3946: true (1) +#3947: true (1) +#3948: true (1) +#3949: true (1) +#3950: true (1) +#3951: true (1) +#3952: true (1) +#3953: true (1) +#3954: true (1) +#3955: true (1) +#3956: true (1) +#3957: note (0) +#3958: note (0) +#3959: note (0) +#3960: note (0) +#3961: note (0) +#3962: note (0) +#3963: note (0) +#3964: note (0) +#3965: note (0) +#3966: note (0) +#3967: note (0) +#3968: note (0) +#3969: note (0) +#3970: note (0) +#3971: note (0) +#3972: note (0) +#3973: 3 +#3974: 3 +#3975: 3 +#3976: 3 +#3977: 3 +#3978: 3 +#3979: 3 +#3980: 3 +#3981: 3 +#3982: 3 +#3983: 3 +#3984: 3 +#3985: 3 +#3986: 3 +#3987: 3 +#3988: 3 +#3989: 3 +#3990: 3 +#3991: 3 +#3992: 3 +#3993: 3 +#3994: 3 +#3995: 3 +#3996: 3 +#3997: 3 +#3998: 3 +#3999: 3 +#4000: 3 +#4001: 3 +#4002: 3 +#4003: 3 +#4004: 3 +#4005: 3000000 +#4006: 3000000 +#4007: 3000000 +#4008: 3000000 +#4009: 3000000 +#4010: 3000000 +#4011: 3000000 +#4012: 3000000 +#4013: 3000000 +#4014: 3000000 +#4015: 3000000 +#4016: 3000000 +#4017: 3000000 +#4018: 3000000 +#4019: 3000000 +#4020: 3000000 +#4021: 1 +#4022: 1 +#4023: 1 +#4024: 1 +#4025: 1 +#4026: 1 +#4027: 1 +#4028: 1 +#4029: 1 +#4030: 1 +#4031: 1 +#4032: 1 +#4033: 1 +#4034: 1 +#4035: 1 +#4036: 1 +#4037: 1 +#4038: 1 +#4039: 1 +#4040: 1 +#4041: 1 +#4042: 1 +#4043: 1 +#4044: 1 +#4045: 1 +#4046: 1 +#4047: 1 +#4048: 1 +#4049: 1 +#4050: 1 +#4051: 1 +#4052: 1 +#4053: true (1) +#4054: true (1) +#4055: true (1) +#4056: true (1) +#4057: true (1) +#4058: true (1) +#4059: true (1) +#4060: true (1) +#4061: true (1) +#4062: true (1) +#4063: true (1) +#4064: true (1) +#4065: true (1) +#4066: true (1) +#4067: true (1) +#4068: true (1) +#4069: note (0) +#4070: note (0) +#4071: note (0) +#4072: note (0) +#4073: note (0) +#4074: note (0) +#4075: note (0) +#4076: note (0) +#4077: note (0) +#4078: note (0) +#4079: note (0) +#4080: note (0) +#4081: note (0) +#4082: note (0) +#4083: note (0) +#4084: note (0) +#4085: 3 +#4086: 3 +#4087: 3 +#4088: 3 +#4089: 3 +#4090: 3 +#4091: 3 +#4092: 3 +#4093: 3 +#4094: 3 +#4095: 3 +#4096: 3 +#4097: 3 +#4098: 3 +#4099: 3 +#4100: 3 +#4101: 3 +#4102: 3 +#4103: 3 +#4104: 3 +#4105: 3 +#4106: 3 +#4107: 3 +#4108: 3 +#4109: 3 +#4110: 3 +#4111: 3 +#4112: 3 +#4113: 3 +#4114: 3 +#4115: 3 +#4116: 3 +#4117: 3000000 +#4118: 3000000 +#4119: 3000000 +#4120: 3000000 +#4121: 3000000 +#4122: 3000000 +#4123: 3000000 +#4124: 3000000 +#4125: 3000000 +#4126: 3000000 +#4127: 3000000 +#4128: 3000000 +#4129: 3000000 +#4130: 3000000 +#4131: 3000000 +#4132: 3000000 +#4133: 1 +#4134: 1 +#4135: 1 +#4136: 1 +#4137: 1 +#4138: 1 +#4139: 1 +#4140: 1 +#4141: 1 +#4142: 1 +#4143: 1 +#4144: 1 +#4145: 1 +#4146: 1 +#4147: 1 +#4148: 1 +#4149: 1 +#4150: 1 +#4151: 1 +#4152: 1 +#4153: 1 +#4154: 1 +#4155: 1 +#4156: 1 +#4157: 1 +#4158: 1 +#4159: 1 +#4160: 1 +#4161: 1 +#4162: 1 +#4163: 1 +#4164: 1 +#4165: true (1) +#4166: true (1) +#4167: true (1) +#4168: true (1) +#4169: true (1) +#4170: true (1) +#4171: true (1) +#4172: true (1) +#4173: true (1) +#4174: true (1) +#4175: true (1) +#4176: true (1) +#4177: true (1) +#4178: true (1) +#4179: true (1) +#4180: true (1) +#4181: note (0) +#4182: note (0) +#4183: note (0) +#4184: note (0) +#4185: note (0) +#4186: note (0) +#4187: note (0) +#4188: note (0) +#4189: note (0) +#4190: note (0) +#4191: note (0) +#4192: note (0) +#4193: note (0) +#4194: note (0) +#4195: note (0) +#4196: note (0) +#4197: 3 +#4198: 3 +#4199: 3 +#4200: 3 +#4201: 3 +#4202: 3 +#4203: 3 +#4204: 3 +#4205: 3 +#4206: 3 +#4207: 3 +#4208: 3 +#4209: 3 +#4210: 3 +#4211: 3 +#4212: 3 +#4213: 3 +#4214: 3 +#4215: 3 +#4216: 3 +#4217: 3 +#4218: 3 +#4219: 3 +#4220: 3 +#4221: 3 +#4222: 3 +#4223: 3 +#4224: 3 +#4225: 3 +#4226: 3 +#4227: 3 +#4228: 3 +#4229: 3000000 +#4230: 3000000 +#4231: 3000000 +#4232: 3000000 +#4233: 3000000 +#4234: 3000000 +#4235: 3000000 +#4236: 3000000 +#4237: 3000000 +#4238: 3000000 +#4239: 3000000 +#4240: 3000000 +#4241: 3000000 +#4242: 3000000 +#4243: 3000000 +#4244: 3000000 +#4245: 1 +#4246: 1 +#4247: 1 +#4248: 1 +#4249: 1 +#4250: 1 +#4251: 1 +#4252: 1 +#4253: 1 +#4254: 1 +#4255: 1 +#4256: 1 +#4257: 1 +#4258: 1 +#4259: 1 +#4260: 1 +#4261: 1 +#4262: 1 +#4263: 1 +#4264: 1 +#4265: 1 +#4266: 1 +#4267: 1 +#4268: 1 +#4269: 1 +#4270: 1 +#4271: 1 +#4272: 1 +#4273: 1 +#4274: 1 +#4275: 1 +#4276: 1 +#4277: true (1) +#4278: true (1) +#4279: true (1) +#4280: true (1) +#4281: true (1) +#4282: true (1) +#4283: true (1) +#4284: true (1) +#4285: true (1) +#4286: true (1) +#4287: true (1) +#4288: true (1) +#4289: true (1) +#4290: true (1) +#4291: true (1) +#4292: true (1) +#4293: note (0) +#4294: note (0) +#4295: note (0) +#4296: note (0) +#4297: note (0) +#4298: note (0) +#4299: note (0) +#4300: note (0) +#4301: note (0) +#4302: note (0) +#4303: note (0) +#4304: note (0) +#4305: note (0) +#4306: note (0) +#4307: note (0) +#4308: note (0) +#4309: 3 +#4310: 3 +#4311: 3 +#4312: 3 +#4313: 3 +#4314: 3 +#4315: 3 +#4316: 3 +#4317: 3 +#4318: 3 +#4319: 3 +#4320: 3 +#4321: 3 +#4322: 3 +#4323: 3 +#4324: 3 +#4325: 3 +#4326: 3 +#4327: 3 +#4328: 3 +#4329: 3 +#4330: 3 +#4331: 3 +#4332: 3 +#4333: 3 +#4334: 3 +#4335: 3 +#4336: 3 +#4337: 3 +#4338: 3 +#4339: 3 +#4340: 3 +#4341: 3000000 +#4342: 3000000 +#4343: 3000000 +#4344: 3000000 +#4345: 3000000 +#4346: 3000000 +#4347: 3000000 +#4348: 3000000 +#4349: 3000000 +#4350: 3000000 +#4351: 3000000 +#4352: 3000000 +#4353: 3000000 +#4354: 3000000 +#4355: 3000000 +#4356: 3000000 +#4357: 1 +#4358: 1 +#4359: 1 +#4360: 1 +#4361: 1 +#4362: 1 +#4363: 1 +#4364: 1 +#4365: 1 +#4366: 1 +#4367: 1 +#4368: 1 +#4369: 1 +#4370: 1 +#4371: 1 +#4372: 1 +#4373: 1 +#4374: 1 +#4375: 1 +#4376: 1 +#4377: 1 +#4378: 1 +#4379: 1 +#4380: 1 +#4381: 1 +#4382: 1 +#4383: 1 +#4384: 1 +#4385: 1 +#4386: 1 +#4387: 1 +#4388: 1 +#4389: true (1) +#4390: '1' (1) +#4391: 's' (115) +#4392: note (0) +#4393: 3 +#4394: 3 +#4395: 3000000 +#4396: 1 +#4397: 1 +#4398: 's' (115) +#4399: 'h' (104) +#4400: 'i' (105) +#4401: 's' (115) +#4402: 'h' (104) +#4403: 'i' (105) +#4404: 'r' (114) +#4405: '0' (0) +#4406: '0' (0) +#4407: '1' (1) +#4408: '1' (1) +#4409: true (1) +#4410: true (1) +#4411: true (1) +#4412: true (1) +#4413: true (1) +#4414: true (1) +#4415: true (1) +#4416: true (1) +#4417: true (1) +#4418: true (1) +#4419: true (1) +#4420: true (1) +#4421: true (1) +#4422: true (1) +#4423: true (1) +#4424: true (1) +#4425: note (0) +#4426: note (0) +#4427: note (0) +#4428: note (0) +#4429: note (0) +#4430: note (0) +#4431: note (0) +#4432: note (0) +#4433: note (0) +#4434: note (0) +#4435: note (0) +#4436: note (0) +#4437: note (0) +#4438: note (0) +#4439: note (0) +#4440: note (0) +#4441: 3 +#4442: 3 +#4443: 3 +#4444: 3 +#4445: 3 +#4446: 3 +#4447: 3 +#4448: 3 +#4449: 3 +#4450: 3 +#4451: 3 +#4452: 3 +#4453: 3 +#4454: 3 +#4455: 3 +#4456: 3 +#4457: 3 +#4458: 3 +#4459: 3 +#4460: 3 +#4461: 3 +#4462: 3 +#4463: 3 +#4464: 3 +#4465: 3 +#4466: 3 +#4467: 3 +#4468: 3 +#4469: 3 +#4470: 3 +#4471: 3 +#4472: 3 +#4473: 3000000 +#4474: 3000000 +#4475: 3000000 +#4476: 3000000 +#4477: 3000000 +#4478: 3000000 +#4479: 3000000 +#4480: 3000000 +#4481: 3000000 +#4482: 3000000 +#4483: 3000000 +#4484: 3000000 +#4485: 3000000 +#4486: 3000000 +#4487: 3000000 +#4488: 3000000 +#4489: 1 +#4490: 1 +#4491: 1 +#4492: 1 +#4493: 1 +#4494: 1 +#4495: 1 +#4496: 1 +#4497: 1 +#4498: 1 +#4499: 1 +#4500: 1 +#4501: 1 +#4502: 1 +#4503: 1 +#4504: 1 +#4505: 1 +#4506: 1 +#4507: 1 +#4508: 1 +#4509: 1 +#4510: 1 +#4511: 1 +#4512: 1 +#4513: 1 +#4514: 1 +#4515: 1 +#4516: 1 +#4517: 1 +#4518: 1 +#4519: 1 +#4520: 1 +#4521: true (1) +#4522: true (1) +#4523: true (1) +#4524: true (1) +#4525: true (1) +#4526: true (1) +#4527: true (1) +#4528: true (1) +#4529: true (1) +#4530: true (1) +#4531: true (1) +#4532: true (1) +#4533: true (1) +#4534: true (1) +#4535: true (1) +#4536: true (1) +#4537: true (1) +#4538: true (1) +#4539: true (1) +#4540: true (1) +#4541: true (1) +#4542: true (1) +#4543: true (1) +#4544: true (1) +#4545: true (1) +#4546: true (1) +#4547: true (1) +#4548: true (1) +#4549: true (1) +#4550: true (1) +#4551: true (1) +#4552: true (1) +#4553: true (1) +#4554: true (1) +#4555: true (1) +#4556: true (1) +#4557: true (1) +#4558: true (1) +#4559: true (1) +#4560: true (1) +#4561: true (1) +#4562: true (1) +#4563: true (1) +#4564: true (1) +#4565: true (1) +#4566: true (1) +#4567: true (1) +#4568: true (1) +#4569: true (1) +#4570: true (1) +#4571: true (1) +#4572: true (1) +#4573: true (1) +#4574: true (1) +#4575: true (1) +#4576: true (1) +#4577: true (1) +#4578: true (1) +#4579: true (1) +#4580: true (1) +#4581: true (1) +#4582: true (1) +#4583: true (1) +#4584: true (1) +#4585: true (1) +#4586: true (1) +#4587: true (1) +#4588: true (1) +#4589: true (1) +#4590: true (1) +#4591: true (1) +#4592: true (1) +#4593: true (1) +#4594: true (1) +#4595: true (1) +#4596: true (1) +#4597: true (1) +#4598: true (1) +#4599: true (1) +#4600: true (1) +#4601: true (1) +#4602: true (1) +#4603: true (1) +#4604: true (1) +#4605: true (1) +#4606: true (1) +#4607: true (1) +#4608: true (1) +#4609: true (1) +#4610: true (1) +#4611: true (1) +#4612: true (1) +#4613: true (1) +#4614: true (1) +#4615: true (1) +#4616: true (1) +#4617: true (1) +#4618: true (1) +#4619: true (1) +#4620: true (1) +#4621: true (1) +#4622: true (1) +#4623: true (1) +#4624: true (1) +#4625: true (1) +#4626: true (1) +#4627: true (1) +#4628: true (1) +#4629: true (1) +#4630: true (1) +#4631: true (1) +#4632: true (1) +#4633: true (1) +#4634: true (1) +#4635: true (1) +#4636: true (1) +#4637: true (1) +#4638: true (1) +#4639: true (1) +#4640: true (1) +#4641: true (1) +#4642: true (1) +#4643: true (1) +#4644: true (1) +#4645: true (1) +#4646: true (1) +#4647: true (1) +#4648: true (1) +#4649: true (1) +#4650: true (1) +#4651: true (1) +#4652: true (1) +#4653: true (1) +#4654: true (1) +#4655: true (1) +#4656: true (1) +#4657: true (1) +#4658: true (1) +#4659: true (1) +#4660: true (1) +#4661: true (1) +#4662: true (1) +#4663: true (1) +#4664: true (1) +#4665: true (1) +#4666: true (1) +#4667: true (1) +#4668: true (1) +#4669: true (1) +#4670: true (1) +#4671: true (1) +#4672: true (1) +#4673: true (1) +#4674: true (1) +#4675: true (1) +#4676: true (1) +#4677: true (1) +#4678: true (1) +#4679: true (1) +#4680: true (1) +#4681: true (1) +#4682: true (1) +#4683: true (1) +#4684: true (1) +#4685: true (1) +#4686: true (1) +#4687: true (1) +#4688: true (1) +#4689: true (1) +#4690: true (1) +#4691: true (1) +#4692: true (1) +#4693: true (1) +#4694: true (1) +#4695: true (1) +#4696: true (1) +#4697: true (1) +#4698: true (1) +#4699: true (1) +#4700: true (1) +#4701: true (1) +#4702: true (1) +#4703: true (1) +#4704: true (1) +#4705: true (1) +#4706: true (1) +#4707: true (1) +#4708: true (1) +#4709: true (1) +#4710: true (1) +#4711: true (1) +#4712: true (1) +#4713: true (1) +#4714: true (1) +#4715: true (1) +#4716: true (1) +#4717: true (1) +#4718: true (1) +#4719: true (1) +#4720: true (1) +#4721: true (1) +#4722: true (1) +#4723: true (1) +#4724: true (1) +#4725: true (1) +#4726: true (1) +#4727: true (1) +#4728: true (1) +#4729: true (1) +#4730: true (1) +#4731: true (1) +#4732: true (1) +#4733: true (1) +#4734: true (1) +#4735: true (1) +#4736: true (1) +#4737: true (1) +#4738: true (1) +#4739: true (1) +#4740: true (1) +#4741: true (1) +#4742: true (1) +#4743: true (1) +#4744: true (1) +#4745: true (1) +#4746: true (1) +#4747: true (1) +#4748: true (1) +#4749: true (1) +#4750: true (1) +#4751: true (1) +#4752: true (1) +#4753: true (1) +#4754: true (1) +#4755: true (1) +#4756: true (1) +#4757: true (1) +#4758: true (1) +#4759: true (1) +#4760: true (1) +#4761: true (1) +#4762: true (1) +#4763: true (1) +#4764: true (1) +#4765: true (1) +#4766: true (1) +#4767: true (1) +#4768: true (1) +#4769: true (1) +#4770: true (1) +#4771: true (1) +#4772: true (1) +#4773: true (1) +#4774: true (1) +#4775: true (1) +#4776: true (1) +#4777: note (0) +#4778: note (0) +#4779: note (0) +#4780: note (0) +#4781: note (0) +#4782: note (0) +#4783: note (0) +#4784: note (0) +#4785: note (0) +#4786: note (0) +#4787: note (0) +#4788: note (0) +#4789: note (0) +#4790: note (0) +#4791: note (0) +#4792: note (0) +#4793: note (0) +#4794: note (0) +#4795: note (0) +#4796: note (0) +#4797: note (0) +#4798: note (0) +#4799: note (0) +#4800: note (0) +#4801: note (0) +#4802: note (0) +#4803: note (0) +#4804: note (0) +#4805: note (0) +#4806: note (0) +#4807: note (0) +#4808: note (0) +#4809: note (0) +#4810: note (0) +#4811: note (0) +#4812: note (0) +#4813: note (0) +#4814: note (0) +#4815: note (0) +#4816: note (0) +#4817: note (0) +#4818: note (0) +#4819: note (0) +#4820: note (0) +#4821: note (0) +#4822: note (0) +#4823: note (0) +#4824: note (0) +#4825: note (0) +#4826: note (0) +#4827: note (0) +#4828: note (0) +#4829: note (0) +#4830: note (0) +#4831: note (0) +#4832: note (0) +#4833: note (0) +#4834: note (0) +#4835: note (0) +#4836: note (0) +#4837: note (0) +#4838: note (0) +#4839: note (0) +#4840: note (0) +#4841: note (0) +#4842: note (0) +#4843: note (0) +#4844: note (0) +#4845: note (0) +#4846: note (0) +#4847: note (0) +#4848: note (0) +#4849: note (0) +#4850: note (0) +#4851: note (0) +#4852: note (0) +#4853: note (0) +#4854: note (0) +#4855: note (0) +#4856: note (0) +#4857: note (0) +#4858: note (0) +#4859: note (0) +#4860: note (0) +#4861: note (0) +#4862: note (0) +#4863: note (0) +#4864: note (0) +#4865: note (0) +#4866: note (0) +#4867: note (0) +#4868: note (0) +#4869: note (0) +#4870: note (0) +#4871: note (0) +#4872: note (0) +#4873: note (0) +#4874: note (0) +#4875: note (0) +#4876: note (0) +#4877: note (0) +#4878: note (0) +#4879: note (0) +#4880: note (0) +#4881: note (0) +#4882: note (0) +#4883: note (0) +#4884: note (0) +#4885: note (0) +#4886: note (0) +#4887: note (0) +#4888: note (0) +#4889: note (0) +#4890: note (0) +#4891: note (0) +#4892: note (0) +#4893: note (0) +#4894: note (0) +#4895: note (0) +#4896: note (0) +#4897: note (0) +#4898: note (0) +#4899: note (0) +#4900: note (0) +#4901: note (0) +#4902: note (0) +#4903: note (0) +#4904: note (0) +#4905: note (0) +#4906: note (0) +#4907: note (0) +#4908: note (0) +#4909: note (0) +#4910: note (0) +#4911: note (0) +#4912: note (0) +#4913: note (0) +#4914: note (0) +#4915: note (0) +#4916: note (0) +#4917: note (0) +#4918: note (0) +#4919: note (0) +#4920: note (0) +#4921: note (0) +#4922: note (0) +#4923: note (0) +#4924: note (0) +#4925: note (0) +#4926: note (0) +#4927: note (0) +#4928: note (0) +#4929: note (0) +#4930: note (0) +#4931: note (0) +#4932: note (0) +#4933: note (0) +#4934: note (0) +#4935: note (0) +#4936: note (0) +#4937: note (0) +#4938: note (0) +#4939: note (0) +#4940: note (0) +#4941: note (0) +#4942: note (0) +#4943: note (0) +#4944: note (0) +#4945: note (0) +#4946: note (0) +#4947: note (0) +#4948: note (0) +#4949: note (0) +#4950: note (0) +#4951: note (0) +#4952: note (0) +#4953: note (0) +#4954: note (0) +#4955: note (0) +#4956: note (0) +#4957: note (0) +#4958: note (0) +#4959: note (0) +#4960: note (0) +#4961: note (0) +#4962: note (0) +#4963: note (0) +#4964: note (0) +#4965: note (0) +#4966: note (0) +#4967: note (0) +#4968: note (0) +#4969: note (0) +#4970: note (0) +#4971: note (0) +#4972: note (0) +#4973: note (0) +#4974: note (0) +#4975: note (0) +#4976: note (0) +#4977: note (0) +#4978: note (0) +#4979: note (0) +#4980: note (0) +#4981: note (0) +#4982: note (0) +#4983: note (0) +#4984: note (0) +#4985: note (0) +#4986: note (0) +#4987: note (0) +#4988: note (0) +#4989: note (0) +#4990: note (0) +#4991: note (0) +#4992: note (0) +#4993: note (0) +#4994: note (0) +#4995: note (0) +#4996: note (0) +#4997: note (0) +#4998: note (0) +#4999: note (0) +#5000: note (0) +#5001: note (0) +#5002: note (0) +#5003: note (0) +#5004: note (0) +#5005: note (0) +#5006: note (0) +#5007: note (0) +#5008: note (0) +#5009: note (0) +#5010: note (0) +#5011: note (0) +#5012: note (0) +#5013: note (0) +#5014: note (0) +#5015: note (0) +#5016: note (0) +#5017: note (0) +#5018: note (0) +#5019: note (0) +#5020: note (0) +#5021: note (0) +#5022: note (0) +#5023: note (0) +#5024: note (0) +#5025: note (0) +#5026: note (0) +#5027: note (0) +#5028: note (0) +#5029: note (0) +#5030: note (0) +#5031: note (0) +#5032: note (0) +#5033: 3 +#5034: 3 +#5035: 3 +#5036: 3 +#5037: 3 +#5038: 3 +#5039: 3 +#5040: 3 +#5041: 3 +#5042: 3 +#5043: 3 +#5044: 3 +#5045: 3 +#5046: 3 +#5047: 3 +#5048: 3 +#5049: 3 +#5050: 3 +#5051: 3 +#5052: 3 +#5053: 3 +#5054: 3 +#5055: 3 +#5056: 3 +#5057: 3 +#5058: 3 +#5059: 3 +#5060: 3 +#5061: 3 +#5062: 3 +#5063: 3 +#5064: 3 +#5065: 3 +#5066: 3 +#5067: 3 +#5068: 3 +#5069: 3 +#5070: 3 +#5071: 3 +#5072: 3 +#5073: 3 +#5074: 3 +#5075: 3 +#5076: 3 +#5077: 3 +#5078: 3 +#5079: 3 +#5080: 3 +#5081: 3 +#5082: 3 +#5083: 3 +#5084: 3 +#5085: 3 +#5086: 3 +#5087: 3 +#5088: 3 +#5089: 3 +#5090: 3 +#5091: 3 +#5092: 3 +#5093: 3 +#5094: 3 +#5095: 3 +#5096: 3 +#5097: 3 +#5098: 3 +#5099: 3 +#5100: 3 +#5101: 3 +#5102: 3 +#5103: 3 +#5104: 3 +#5105: 3 +#5106: 3 +#5107: 3 +#5108: 3 +#5109: 3 +#5110: 3 +#5111: 3 +#5112: 3 +#5113: 3 +#5114: 3 +#5115: 3 +#5116: 3 +#5117: 3 +#5118: 3 +#5119: 3 +#5120: 3 +#5121: 3 +#5122: 3 +#5123: 3 +#5124: 3 +#5125: 3 +#5126: 3 +#5127: 3 +#5128: 3 +#5129: 3 +#5130: 3 +#5131: 3 +#5132: 3 +#5133: 3 +#5134: 3 +#5135: 3 +#5136: 3 +#5137: 3 +#5138: 3 +#5139: 3 +#5140: 3 +#5141: 3 +#5142: 3 +#5143: 3 +#5144: 3 +#5145: 3 +#5146: 3 +#5147: 3 +#5148: 3 +#5149: 3 +#5150: 3 +#5151: 3 +#5152: 3 +#5153: 3 +#5154: 3 +#5155: 3 +#5156: 3 +#5157: 3 +#5158: 3 +#5159: 3 +#5160: 3 +#5161: 3 +#5162: 3 +#5163: 3 +#5164: 3 +#5165: 3 +#5166: 3 +#5167: 3 +#5168: 3 +#5169: 3 +#5170: 3 +#5171: 3 +#5172: 3 +#5173: 3 +#5174: 3 +#5175: 3 +#5176: 3 +#5177: 3 +#5178: 3 +#5179: 3 +#5180: 3 +#5181: 3 +#5182: 3 +#5183: 3 +#5184: 3 +#5185: 3 +#5186: 3 +#5187: 3 +#5188: 3 +#5189: 3 +#5190: 3 +#5191: 3 +#5192: 3 +#5193: 3 +#5194: 3 +#5195: 3 +#5196: 3 +#5197: 3 +#5198: 3 +#5199: 3 +#5200: 3 +#5201: 3 +#5202: 3 +#5203: 3 +#5204: 3 +#5205: 3 +#5206: 3 +#5207: 3 +#5208: 3 +#5209: 3 +#5210: 3 +#5211: 3 +#5212: 3 +#5213: 3 +#5214: 3 +#5215: 3 +#5216: 3 +#5217: 3 +#5218: 3 +#5219: 3 +#5220: 3 +#5221: 3 +#5222: 3 +#5223: 3 +#5224: 3 +#5225: 3 +#5226: 3 +#5227: 3 +#5228: 3 +#5229: 3 +#5230: 3 +#5231: 3 +#5232: 3 +#5233: 3 +#5234: 3 +#5235: 3 +#5236: 3 +#5237: 3 +#5238: 3 +#5239: 3 +#5240: 3 +#5241: 3 +#5242: 3 +#5243: 3 +#5244: 3 +#5245: 3 +#5246: 3 +#5247: 3 +#5248: 3 +#5249: 3 +#5250: 3 +#5251: 3 +#5252: 3 +#5253: 3 +#5254: 3 +#5255: 3 +#5256: 3 +#5257: 3 +#5258: 3 +#5259: 3 +#5260: 3 +#5261: 3 +#5262: 3 +#5263: 3 +#5264: 3 +#5265: 3 +#5266: 3 +#5267: 3 +#5268: 3 +#5269: 3 +#5270: 3 +#5271: 3 +#5272: 3 +#5273: 3 +#5274: 3 +#5275: 3 +#5276: 3 +#5277: 3 +#5278: 3 +#5279: 3 +#5280: 3 +#5281: 3 +#5282: 3 +#5283: 3 +#5284: 3 +#5285: 3 +#5286: 3 +#5287: 3 +#5288: 3 +#5289: 3 +#5290: 3 +#5291: 3 +#5292: 3 +#5293: 3 +#5294: 3 +#5295: 3 +#5296: 3 +#5297: 3 +#5298: 3 +#5299: 3 +#5300: 3 +#5301: 3 +#5302: 3 +#5303: 3 +#5304: 3 +#5305: 3 +#5306: 3 +#5307: 3 +#5308: 3 +#5309: 3 +#5310: 3 +#5311: 3 +#5312: 3 +#5313: 3 +#5314: 3 +#5315: 3 +#5316: 3 +#5317: 3 +#5318: 3 +#5319: 3 +#5320: 3 +#5321: 3 +#5322: 3 +#5323: 3 +#5324: 3 +#5325: 3 +#5326: 3 +#5327: 3 +#5328: 3 +#5329: 3 +#5330: 3 +#5331: 3 +#5332: 3 +#5333: 3 +#5334: 3 +#5335: 3 +#5336: 3 +#5337: 3 +#5338: 3 +#5339: 3 +#5340: 3 +#5341: 3 +#5342: 3 +#5343: 3 +#5344: 3 +#5345: 3 +#5346: 3 +#5347: 3 +#5348: 3 +#5349: 3 +#5350: 3 +#5351: 3 +#5352: 3 +#5353: 3 +#5354: 3 +#5355: 3 +#5356: 3 +#5357: 3 +#5358: 3 +#5359: 3 +#5360: 3 +#5361: 3 +#5362: 3 +#5363: 3 +#5364: 3 +#5365: 3 +#5366: 3 +#5367: 3 +#5368: 3 +#5369: 3 +#5370: 3 +#5371: 3 +#5372: 3 +#5373: 3 +#5374: 3 +#5375: 3 +#5376: 3 +#5377: 3 +#5378: 3 +#5379: 3 +#5380: 3 +#5381: 3 +#5382: 3 +#5383: 3 +#5384: 3 +#5385: 3 +#5386: 3 +#5387: 3 +#5388: 3 +#5389: 3 +#5390: 3 +#5391: 3 +#5392: 3 +#5393: 3 +#5394: 3 +#5395: 3 +#5396: 3 +#5397: 3 +#5398: 3 +#5399: 3 +#5400: 3 +#5401: 3 +#5402: 3 +#5403: 3 +#5404: 3 +#5405: 3 +#5406: 3 +#5407: 3 +#5408: 3 +#5409: 3 +#5410: 3 +#5411: 3 +#5412: 3 +#5413: 3 +#5414: 3 +#5415: 3 +#5416: 3 +#5417: 3 +#5418: 3 +#5419: 3 +#5420: 3 +#5421: 3 +#5422: 3 +#5423: 3 +#5424: 3 +#5425: 3 +#5426: 3 +#5427: 3 +#5428: 3 +#5429: 3 +#5430: 3 +#5431: 3 +#5432: 3 +#5433: 3 +#5434: 3 +#5435: 3 +#5436: 3 +#5437: 3 +#5438: 3 +#5439: 3 +#5440: 3 +#5441: 3 +#5442: 3 +#5443: 3 +#5444: 3 +#5445: 3 +#5446: 3 +#5447: 3 +#5448: 3 +#5449: 3 +#5450: 3 +#5451: 3 +#5452: 3 +#5453: 3 +#5454: 3 +#5455: 3 +#5456: 3 +#5457: 3 +#5458: 3 +#5459: 3 +#5460: 3 +#5461: 3 +#5462: 3 +#5463: 3 +#5464: 3 +#5465: 3 +#5466: 3 +#5467: 3 +#5468: 3 +#5469: 3 +#5470: 3 +#5471: 3 +#5472: 3 +#5473: 3 +#5474: 3 +#5475: 3 +#5476: 3 +#5477: 3 +#5478: 3 +#5479: 3 +#5480: 3 +#5481: 3 +#5482: 3 +#5483: 3 +#5484: 3 +#5485: 3 +#5486: 3 +#5487: 3 +#5488: 3 +#5489: 3 +#5490: 3 +#5491: 3 +#5492: 3 +#5493: 3 +#5494: 3 +#5495: 3 +#5496: 3 +#5497: 3 +#5498: 3 +#5499: 3 +#5500: 3 +#5501: 3 +#5502: 3 +#5503: 3 +#5504: 3 +#5505: 3 +#5506: 3 +#5507: 3 +#5508: 3 +#5509: 3 +#5510: 3 +#5511: 3 +#5512: 3 +#5513: 3 +#5514: 3 +#5515: 3 +#5516: 3 +#5517: 3 +#5518: 3 +#5519: 3 +#5520: 3 +#5521: 3 +#5522: 3 +#5523: 3 +#5524: 3 +#5525: 3 +#5526: 3 +#5527: 3 +#5528: 3 +#5529: 3 +#5530: 3 +#5531: 3 +#5532: 3 +#5533: 3 +#5534: 3 +#5535: 3 +#5536: 3 +#5537: 3 +#5538: 3 +#5539: 3 +#5540: 3 +#5541: 3 +#5542: 3 +#5543: 3 +#5544: 3 +#5545: 3000000 +#5546: 3000000 +#5547: 3000000 +#5548: 3000000 +#5549: 3000000 +#5550: 3000000 +#5551: 3000000 +#5552: 3000000 +#5553: 3000000 +#5554: 3000000 +#5555: 3000000 +#5556: 3000000 +#5557: 3000000 +#5558: 3000000 +#5559: 3000000 +#5560: 3000000 +#5561: 3000000 +#5562: 3000000 +#5563: 3000000 +#5564: 3000000 +#5565: 3000000 +#5566: 3000000 +#5567: 3000000 +#5568: 3000000 +#5569: 3000000 +#5570: 3000000 +#5571: 3000000 +#5572: 3000000 +#5573: 3000000 +#5574: 3000000 +#5575: 3000000 +#5576: 3000000 +#5577: 3000000 +#5578: 3000000 +#5579: 3000000 +#5580: 3000000 +#5581: 3000000 +#5582: 3000000 +#5583: 3000000 +#5584: 3000000 +#5585: 3000000 +#5586: 3000000 +#5587: 3000000 +#5588: 3000000 +#5589: 3000000 +#5590: 3000000 +#5591: 3000000 +#5592: 3000000 +#5593: 3000000 +#5594: 3000000 +#5595: 3000000 +#5596: 3000000 +#5597: 3000000 +#5598: 3000000 +#5599: 3000000 +#5600: 3000000 +#5601: 3000000 +#5602: 3000000 +#5603: 3000000 +#5604: 3000000 +#5605: 3000000 +#5606: 3000000 +#5607: 3000000 +#5608: 3000000 +#5609: 3000000 +#5610: 3000000 +#5611: 3000000 +#5612: 3000000 +#5613: 3000000 +#5614: 3000000 +#5615: 3000000 +#5616: 3000000 +#5617: 3000000 +#5618: 3000000 +#5619: 3000000 +#5620: 3000000 +#5621: 3000000 +#5622: 3000000 +#5623: 3000000 +#5624: 3000000 +#5625: 3000000 +#5626: 3000000 +#5627: 3000000 +#5628: 3000000 +#5629: 3000000 +#5630: 3000000 +#5631: 3000000 +#5632: 3000000 +#5633: 3000000 +#5634: 3000000 +#5635: 3000000 +#5636: 3000000 +#5637: 3000000 +#5638: 3000000 +#5639: 3000000 +#5640: 3000000 +#5641: 3000000 +#5642: 3000000 +#5643: 3000000 +#5644: 3000000 +#5645: 3000000 +#5646: 3000000 +#5647: 3000000 +#5648: 3000000 +#5649: 3000000 +#5650: 3000000 +#5651: 3000000 +#5652: 3000000 +#5653: 3000000 +#5654: 3000000 +#5655: 3000000 +#5656: 3000000 +#5657: 3000000 +#5658: 3000000 +#5659: 3000000 +#5660: 3000000 +#5661: 3000000 +#5662: 3000000 +#5663: 3000000 +#5664: 3000000 +#5665: 3000000 +#5666: 3000000 +#5667: 3000000 +#5668: 3000000 +#5669: 3000000 +#5670: 3000000 +#5671: 3000000 +#5672: 3000000 +#5673: 3000000 +#5674: 3000000 +#5675: 3000000 +#5676: 3000000 +#5677: 3000000 +#5678: 3000000 +#5679: 3000000 +#5680: 3000000 +#5681: 3000000 +#5682: 3000000 +#5683: 3000000 +#5684: 3000000 +#5685: 3000000 +#5686: 3000000 +#5687: 3000000 +#5688: 3000000 +#5689: 3000000 +#5690: 3000000 +#5691: 3000000 +#5692: 3000000 +#5693: 3000000 +#5694: 3000000 +#5695: 3000000 +#5696: 3000000 +#5697: 3000000 +#5698: 3000000 +#5699: 3000000 +#5700: 3000000 +#5701: 3000000 +#5702: 3000000 +#5703: 3000000 +#5704: 3000000 +#5705: 3000000 +#5706: 3000000 +#5707: 3000000 +#5708: 3000000 +#5709: 3000000 +#5710: 3000000 +#5711: 3000000 +#5712: 3000000 +#5713: 3000000 +#5714: 3000000 +#5715: 3000000 +#5716: 3000000 +#5717: 3000000 +#5718: 3000000 +#5719: 3000000 +#5720: 3000000 +#5721: 3000000 +#5722: 3000000 +#5723: 3000000 +#5724: 3000000 +#5725: 3000000 +#5726: 3000000 +#5727: 3000000 +#5728: 3000000 +#5729: 3000000 +#5730: 3000000 +#5731: 3000000 +#5732: 3000000 +#5733: 3000000 +#5734: 3000000 +#5735: 3000000 +#5736: 3000000 +#5737: 3000000 +#5738: 3000000 +#5739: 3000000 +#5740: 3000000 +#5741: 3000000 +#5742: 3000000 +#5743: 3000000 +#5744: 3000000 +#5745: 3000000 +#5746: 3000000 +#5747: 3000000 +#5748: 3000000 +#5749: 3000000 +#5750: 3000000 +#5751: 3000000 +#5752: 3000000 +#5753: 3000000 +#5754: 3000000 +#5755: 3000000 +#5756: 3000000 +#5757: 3000000 +#5758: 3000000 +#5759: 3000000 +#5760: 3000000 +#5761: 3000000 +#5762: 3000000 +#5763: 3000000 +#5764: 3000000 +#5765: 3000000 +#5766: 3000000 +#5767: 3000000 +#5768: 3000000 +#5769: 3000000 +#5770: 3000000 +#5771: 3000000 +#5772: 3000000 +#5773: 3000000 +#5774: 3000000 +#5775: 3000000 +#5776: 3000000 +#5777: 3000000 +#5778: 3000000 +#5779: 3000000 +#5780: 3000000 +#5781: 3000000 +#5782: 3000000 +#5783: 3000000 +#5784: 3000000 +#5785: 3000000 +#5786: 3000000 +#5787: 3000000 +#5788: 3000000 +#5789: 3000000 +#5790: 3000000 +#5791: 3000000 +#5792: 3000000 +#5793: 3000000 +#5794: 3000000 +#5795: 3000000 +#5796: 3000000 +#5797: 3000000 +#5798: 3000000 +#5799: 3000000 +#5800: 3000000 +#5801: 1 +#5802: 1 +#5803: 1 +#5804: 1 +#5805: 1 +#5806: 1 +#5807: 1 +#5808: 1 +#5809: 1 +#5810: 1 +#5811: 1 +#5812: 1 +#5813: 1 +#5814: 1 +#5815: 1 +#5816: 1 +#5817: 1 +#5818: 1 +#5819: 1 +#5820: 1 +#5821: 1 +#5822: 1 +#5823: 1 +#5824: 1 +#5825: 1 +#5826: 1 +#5827: 1 +#5828: 1 +#5829: 1 +#5830: 1 +#5831: 1 +#5832: 1 +#5833: 1 +#5834: 1 +#5835: 1 +#5836: 1 +#5837: 1 +#5838: 1 +#5839: 1 +#5840: 1 +#5841: 1 +#5842: 1 +#5843: 1 +#5844: 1 +#5845: 1 +#5846: 1 +#5847: 1 +#5848: 1 +#5849: 1 +#5850: 1 +#5851: 1 +#5852: 1 +#5853: 1 +#5854: 1 +#5855: 1 +#5856: 1 +#5857: 1 +#5858: 1 +#5859: 1 +#5860: 1 +#5861: 1 +#5862: 1 +#5863: 1 +#5864: 1 +#5865: 1 +#5866: 1 +#5867: 1 +#5868: 1 +#5869: 1 +#5870: 1 +#5871: 1 +#5872: 1 +#5873: 1 +#5874: 1 +#5875: 1 +#5876: 1 +#5877: 1 +#5878: 1 +#5879: 1 +#5880: 1 +#5881: 1 +#5882: 1 +#5883: 1 +#5884: 1 +#5885: 1 +#5886: 1 +#5887: 1 +#5888: 1 +#5889: 1 +#5890: 1 +#5891: 1 +#5892: 1 +#5893: 1 +#5894: 1 +#5895: 1 +#5896: 1 +#5897: 1 +#5898: 1 +#5899: 1 +#5900: 1 +#5901: 1 +#5902: 1 +#5903: 1 +#5904: 1 +#5905: 1 +#5906: 1 +#5907: 1 +#5908: 1 +#5909: 1 +#5910: 1 +#5911: 1 +#5912: 1 +#5913: 1 +#5914: 1 +#5915: 1 +#5916: 1 +#5917: 1 +#5918: 1 +#5919: 1 +#5920: 1 +#5921: 1 +#5922: 1 +#5923: 1 +#5924: 1 +#5925: 1 +#5926: 1 +#5927: 1 +#5928: 1 +#5929: 1 +#5930: 1 +#5931: 1 +#5932: 1 +#5933: 1 +#5934: 1 +#5935: 1 +#5936: 1 +#5937: 1 +#5938: 1 +#5939: 1 +#5940: 1 +#5941: 1 +#5942: 1 +#5943: 1 +#5944: 1 +#5945: 1 +#5946: 1 +#5947: 1 +#5948: 1 +#5949: 1 +#5950: 1 +#5951: 1 +#5952: 1 +#5953: 1 +#5954: 1 +#5955: 1 +#5956: 1 +#5957: 1 +#5958: 1 +#5959: 1 +#5960: 1 +#5961: 1 +#5962: 1 +#5963: 1 +#5964: 1 +#5965: 1 +#5966: 1 +#5967: 1 +#5968: 1 +#5969: 1 +#5970: 1 +#5971: 1 +#5972: 1 +#5973: 1 +#5974: 1 +#5975: 1 +#5976: 1 +#5977: 1 +#5978: 1 +#5979: 1 +#5980: 1 +#5981: 1 +#5982: 1 +#5983: 1 +#5984: 1 +#5985: 1 +#5986: 1 +#5987: 1 +#5988: 1 +#5989: 1 +#5990: 1 +#5991: 1 +#5992: 1 +#5993: 1 +#5994: 1 +#5995: 1 +#5996: 1 +#5997: 1 +#5998: 1 +#5999: 1 +#6000: 1 +#6001: 1 +#6002: 1 +#6003: 1 +#6004: 1 +#6005: 1 +#6006: 1 +#6007: 1 +#6008: 1 +#6009: 1 +#6010: 1 +#6011: 1 +#6012: 1 +#6013: 1 +#6014: 1 +#6015: 1 +#6016: 1 +#6017: 1 +#6018: 1 +#6019: 1 +#6020: 1 +#6021: 1 +#6022: 1 +#6023: 1 +#6024: 1 +#6025: 1 +#6026: 1 +#6027: 1 +#6028: 1 +#6029: 1 +#6030: 1 +#6031: 1 +#6032: 1 +#6033: 1 +#6034: 1 +#6035: 1 +#6036: 1 +#6037: 1 +#6038: 1 +#6039: 1 +#6040: 1 +#6041: 1 +#6042: 1 +#6043: 1 +#6044: 1 +#6045: 1 +#6046: 1 +#6047: 1 +#6048: 1 +#6049: 1 +#6050: 1 +#6051: 1 +#6052: 1 +#6053: 1 +#6054: 1 +#6055: 1 +#6056: 1 +#6057: 1 +#6058: 1 +#6059: 1 +#6060: 1 +#6061: 1 +#6062: 1 +#6063: 1 +#6064: 1 +#6065: 1 +#6066: 1 +#6067: 1 +#6068: 1 +#6069: 1 +#6070: 1 +#6071: 1 +#6072: 1 +#6073: 1 +#6074: 1 +#6075: 1 +#6076: 1 +#6077: 1 +#6078: 1 +#6079: 1 +#6080: 1 +#6081: 1 +#6082: 1 +#6083: 1 +#6084: 1 +#6085: 1 +#6086: 1 +#6087: 1 +#6088: 1 +#6089: 1 +#6090: 1 +#6091: 1 +#6092: 1 +#6093: 1 +#6094: 1 +#6095: 1 +#6096: 1 +#6097: 1 +#6098: 1 +#6099: 1 +#6100: 1 +#6101: 1 +#6102: 1 +#6103: 1 +#6104: 1 +#6105: 1 +#6106: 1 +#6107: 1 +#6108: 1 +#6109: 1 +#6110: 1 +#6111: 1 +#6112: 1 +#6113: 1 +#6114: 1 +#6115: 1 +#6116: 1 +#6117: 1 +#6118: 1 +#6119: 1 +#6120: 1 +#6121: 1 +#6122: 1 +#6123: 1 +#6124: 1 +#6125: 1 +#6126: 1 +#6127: 1 +#6128: 1 +#6129: 1 +#6130: 1 +#6131: 1 +#6132: 1 +#6133: 1 +#6134: 1 +#6135: 1 +#6136: 1 +#6137: 1 +#6138: 1 +#6139: 1 +#6140: 1 +#6141: 1 +#6142: 1 +#6143: 1 +#6144: 1 +#6145: 1 +#6146: 1 +#6147: 1 +#6148: 1 +#6149: 1 +#6150: 1 +#6151: 1 +#6152: 1 +#6153: 1 +#6154: 1 +#6155: 1 +#6156: 1 +#6157: 1 +#6158: 1 +#6159: 1 +#6160: 1 +#6161: 1 +#6162: 1 +#6163: 1 +#6164: 1 +#6165: 1 +#6166: 1 +#6167: 1 +#6168: 1 +#6169: 1 +#6170: 1 +#6171: 1 +#6172: 1 +#6173: 1 +#6174: 1 +#6175: 1 +#6176: 1 +#6177: 1 +#6178: 1 +#6179: 1 +#6180: 1 +#6181: 1 +#6182: 1 +#6183: 1 +#6184: 1 +#6185: 1 +#6186: 1 +#6187: 1 +#6188: 1 +#6189: 1 +#6190: 1 +#6191: 1 +#6192: 1 +#6193: 1 +#6194: 1 +#6195: 1 +#6196: 1 +#6197: 1 +#6198: 1 +#6199: 1 +#6200: 1 +#6201: 1 +#6202: 1 +#6203: 1 +#6204: 1 +#6205: 1 +#6206: 1 +#6207: 1 +#6208: 1 +#6209: 1 +#6210: 1 +#6211: 1 +#6212: 1 +#6213: 1 +#6214: 1 +#6215: 1 +#6216: 1 +#6217: 1 +#6218: 1 +#6219: 1 +#6220: 1 +#6221: 1 +#6222: 1 +#6223: 1 +#6224: 1 +#6225: 1 +#6226: 1 +#6227: 1 +#6228: 1 +#6229: 1 +#6230: 1 +#6231: 1 +#6232: 1 +#6233: 1 +#6234: 1 +#6235: 1 +#6236: 1 +#6237: 1 +#6238: 1 +#6239: 1 +#6240: 1 +#6241: 1 +#6242: 1 +#6243: 1 +#6244: 1 +#6245: 1 +#6246: 1 +#6247: 1 +#6248: 1 +#6249: 1 +#6250: 1 +#6251: 1 +#6252: 1 +#6253: 1 +#6254: 1 +#6255: 1 +#6256: 1 +#6257: 1 +#6258: 1 +#6259: 1 +#6260: 1 +#6261: 1 +#6262: 1 +#6263: 1 +#6264: 1 +#6265: 1 +#6266: 1 +#6267: 1 +#6268: 1 +#6269: 1 +#6270: 1 +#6271: 1 +#6272: 1 +#6273: 1 +#6274: 1 +#6275: 1 +#6276: 1 +#6277: 1 +#6278: 1 +#6279: 1 +#6280: 1 +#6281: 1 +#6282: 1 +#6283: 1 +#6284: 1 +#6285: 1 +#6286: 1 +#6287: 1 +#6288: 1 +#6289: 1 +#6290: 1 +#6291: 1 +#6292: 1 +#6293: 1 +#6294: 1 +#6295: 1 +#6296: 1 +#6297: 1 +#6298: 1 +#6299: 1 +#6300: 1 +#6301: 1 +#6302: 1 +#6303: 1 +#6304: 1 +#6305: 1 +#6306: 1 +#6307: 1 +#6308: 1 +#6309: 1 +#6310: 1 +#6311: 1 +#6312: 1 +#6313: true (1) +#6314: true (1) +#6315: true (1) +#6316: true (1) +#6317: true (1) +#6318: true (1) +#6319: true (1) +#6320: true (1) +#6321: true (1) +#6322: true (1) +#6323: true (1) +#6324: true (1) +#6325: true (1) +#6326: true (1) +#6327: true (1) +#6328: true (1) +#6329: note (0) +#6330: note (0) +#6331: note (0) +#6332: note (0) +#6333: note (0) +#6334: note (0) +#6335: note (0) +#6336: note (0) +#6337: note (0) +#6338: note (0) +#6339: note (0) +#6340: note (0) +#6341: note (0) +#6342: note (0) +#6343: note (0) +#6344: note (0) +#6345: 3 +#6346: 3 +#6347: 3 +#6348: 3 +#6349: 3 +#6350: 3 +#6351: 3 +#6352: 3 +#6353: 3 +#6354: 3 +#6355: 3 +#6356: 3 +#6357: 3 +#6358: 3 +#6359: 3 +#6360: 3 +#6361: 3 +#6362: 3 +#6363: 3 +#6364: 3 +#6365: 3 +#6366: 3 +#6367: 3 +#6368: 3 +#6369: 3 +#6370: 3 +#6371: 3 +#6372: 3 +#6373: 3 +#6374: 3 +#6375: 3 +#6376: 3 +#6377: 3000000 +#6378: 3000000 +#6379: 3000000 +#6380: 3000000 +#6381: 3000000 +#6382: 3000000 +#6383: 3000000 +#6384: 3000000 +#6385: 3000000 +#6386: 3000000 +#6387: 3000000 +#6388: 3000000 +#6389: 3000000 +#6390: 3000000 +#6391: 3000000 +#6392: 3000000 +#6393: 1 +#6394: 1 +#6395: 1 +#6396: 1 +#6397: 1 +#6398: 1 +#6399: 1 +#6400: 1 +#6401: 1 +#6402: 1 +#6403: 1 +#6404: 1 +#6405: 1 +#6406: 1 +#6407: 1 +#6408: 1 +#6409: 1 +#6410: 1 +#6411: 1 +#6412: 1 +#6413: 1 +#6414: 1 +#6415: 1 +#6416: 1 +#6417: 1 +#6418: 1 +#6419: 1 +#6420: 1 +#6421: 1 +#6422: 1 +#6423: 1 +#6424: 1 +#6425: true (1) +#6426: true (1) +#6427: true (1) +#6428: true (1) +#6429: true (1) +#6430: true (1) +#6431: true (1) +#6432: true (1) +#6433: true (1) +#6434: true (1) +#6435: true (1) +#6436: true (1) +#6437: true (1) +#6438: true (1) +#6439: true (1) +#6440: true (1) +#6441: note (0) +#6442: note (0) +#6443: note (0) +#6444: note (0) +#6445: note (0) +#6446: note (0) +#6447: note (0) +#6448: note (0) +#6449: note (0) +#6450: note (0) +#6451: note (0) +#6452: note (0) +#6453: note (0) +#6454: note (0) +#6455: note (0) +#6456: note (0) +#6457: 3 +#6458: 3 +#6459: 3 +#6460: 3 +#6461: 3 +#6462: 3 +#6463: 3 +#6464: 3 +#6465: 3 +#6466: 3 +#6467: 3 +#6468: 3 +#6469: 3 +#6470: 3 +#6471: 3 +#6472: 3 +#6473: 3 +#6474: 3 +#6475: 3 +#6476: 3 +#6477: 3 +#6478: 3 +#6479: 3 +#6480: 3 +#6481: 3 +#6482: 3 +#6483: 3 +#6484: 3 +#6485: 3 +#6486: 3 +#6487: 3 +#6488: 3 +#6489: 3000000 +#6490: 3000000 +#6491: 3000000 +#6492: 3000000 +#6493: 3000000 +#6494: 3000000 +#6495: 3000000 +#6496: 3000000 +#6497: 3000000 +#6498: 3000000 +#6499: 3000000 +#6500: 3000000 +#6501: 3000000 +#6502: 3000000 +#6503: 3000000 +#6504: 3000000 +#6505: 1 +#6506: 1 +#6507: 1 +#6508: 1 +#6509: 1 +#6510: 1 +#6511: 1 +#6512: 1 +#6513: 1 +#6514: 1 +#6515: 1 +#6516: 1 +#6517: 1 +#6518: 1 +#6519: 1 +#6520: 1 +#6521: 1 +#6522: 1 +#6523: 1 +#6524: 1 +#6525: 1 +#6526: 1 +#6527: 1 +#6528: 1 +#6529: 1 +#6530: 1 +#6531: 1 +#6532: 1 +#6533: 1 +#6534: 1 +#6535: 1 +#6536: 1 +#6537: true (1) +#6538: true (1) +#6539: true (1) +#6540: true (1) +#6541: true (1) +#6542: true (1) +#6543: true (1) +#6544: true (1) +#6545: true (1) +#6546: true (1) +#6547: true (1) +#6548: true (1) +#6549: true (1) +#6550: true (1) +#6551: true (1) +#6552: true (1) +#6553: note (0) +#6554: note (0) +#6555: note (0) +#6556: note (0) +#6557: note (0) +#6558: note (0) +#6559: note (0) +#6560: note (0) +#6561: note (0) +#6562: note (0) +#6563: note (0) +#6564: note (0) +#6565: note (0) +#6566: note (0) +#6567: note (0) +#6568: note (0) +#6569: 3 +#6570: 3 +#6571: 3 +#6572: 3 +#6573: 3 +#6574: 3 +#6575: 3 +#6576: 3 +#6577: 3 +#6578: 3 +#6579: 3 +#6580: 3 +#6581: 3 +#6582: 3 +#6583: 3 +#6584: 3 +#6585: 3 +#6586: 3 +#6587: 3 +#6588: 3 +#6589: 3 +#6590: 3 +#6591: 3 +#6592: 3 +#6593: 3 +#6594: 3 +#6595: 3 +#6596: 3 +#6597: 3 +#6598: 3 +#6599: 3 +#6600: 3 +#6601: 3000000 +#6602: 3000000 +#6603: 3000000 +#6604: 3000000 +#6605: 3000000 +#6606: 3000000 +#6607: 3000000 +#6608: 3000000 +#6609: 3000000 +#6610: 3000000 +#6611: 3000000 +#6612: 3000000 +#6613: 3000000 +#6614: 3000000 +#6615: 3000000 +#6616: 3000000 +#6617: 1 +#6618: 1 +#6619: 1 +#6620: 1 +#6621: 1 +#6622: 1 +#6623: 1 +#6624: 1 +#6625: 1 +#6626: 1 +#6627: 1 +#6628: 1 +#6629: 1 +#6630: 1 +#6631: 1 +#6632: 1 +#6633: 1 +#6634: 1 +#6635: 1 +#6636: 1 +#6637: 1 +#6638: 1 +#6639: 1 +#6640: 1 +#6641: 1 +#6642: 1 +#6643: 1 +#6644: 1 +#6645: 1 +#6646: 1 +#6647: 1 +#6648: 1 +#6649: true (1) +#6650: true (1) +#6651: true (1) +#6652: true (1) +#6653: true (1) +#6654: true (1) +#6655: true (1) +#6656: true (1) +#6657: true (1) +#6658: true (1) +#6659: true (1) +#6660: true (1) +#6661: true (1) +#6662: true (1) +#6663: true (1) +#6664: true (1) +#6665: note (0) +#6666: note (0) +#6667: note (0) +#6668: note (0) +#6669: note (0) +#6670: note (0) +#6671: note (0) +#6672: note (0) +#6673: note (0) +#6674: note (0) +#6675: note (0) +#6676: note (0) +#6677: note (0) +#6678: note (0) +#6679: note (0) +#6680: note (0) +#6681: 3 +#6682: 3 +#6683: 3 +#6684: 3 +#6685: 3 +#6686: 3 +#6687: 3 +#6688: 3 +#6689: 3 +#6690: 3 +#6691: 3 +#6692: 3 +#6693: 3 +#6694: 3 +#6695: 3 +#6696: 3 +#6697: 3 +#6698: 3 +#6699: 3 +#6700: 3 +#6701: 3 +#6702: 3 +#6703: 3 +#6704: 3 +#6705: 3 +#6706: 3 +#6707: 3 +#6708: 3 +#6709: 3 +#6710: 3 +#6711: 3 +#6712: 3 +#6713: 3000000 +#6714: 3000000 +#6715: 3000000 +#6716: 3000000 +#6717: 3000000 +#6718: 3000000 +#6719: 3000000 +#6720: 3000000 +#6721: 3000000 +#6722: 3000000 +#6723: 3000000 +#6724: 3000000 +#6725: 3000000 +#6726: 3000000 +#6727: 3000000 +#6728: 3000000 +#6729: 1 +#6730: 1 +#6731: 1 +#6732: 1 +#6733: 1 +#6734: 1 +#6735: 1 +#6736: 1 +#6737: 1 +#6738: 1 +#6739: 1 +#6740: 1 +#6741: 1 +#6742: 1 +#6743: 1 +#6744: 1 +#6745: 1 +#6746: 1 +#6747: 1 +#6748: 1 +#6749: 1 +#6750: 1 +#6751: 1 +#6752: 1 +#6753: 1 +#6754: 1 +#6755: 1 +#6756: 1 +#6757: 1 +#6758: 1 +#6759: 1 +#6760: 1 +#6761: true (1) +#6762: true (1) +#6763: true (1) +#6764: true (1) +#6765: true (1) +#6766: true (1) +#6767: true (1) +#6768: true (1) +#6769: true (1) +#6770: true (1) +#6771: true (1) +#6772: true (1) +#6773: true (1) +#6774: true (1) +#6775: true (1) +#6776: true (1) +#6777: note (0) +#6778: note (0) +#6779: note (0) +#6780: note (0) +#6781: note (0) +#6782: note (0) +#6783: note (0) +#6784: note (0) +#6785: note (0) +#6786: note (0) +#6787: note (0) +#6788: note (0) +#6789: note (0) +#6790: note (0) +#6791: note (0) +#6792: note (0) +#6793: 3 +#6794: 3 +#6795: 3 +#6796: 3 +#6797: 3 +#6798: 3 +#6799: 3 +#6800: 3 +#6801: 3 +#6802: 3 +#6803: 3 +#6804: 3 +#6805: 3 +#6806: 3 +#6807: 3 +#6808: 3 +#6809: 3 +#6810: 3 +#6811: 3 +#6812: 3 +#6813: 3 +#6814: 3 +#6815: 3 +#6816: 3 +#6817: 3 +#6818: 3 +#6819: 3 +#6820: 3 +#6821: 3 +#6822: 3 +#6823: 3 +#6824: 3 +#6825: 3000000 +#6826: 3000000 +#6827: 3000000 +#6828: 3000000 +#6829: 3000000 +#6830: 3000000 +#6831: 3000000 +#6832: 3000000 +#6833: 3000000 +#6834: 3000000 +#6835: 3000000 +#6836: 3000000 +#6837: 3000000 +#6838: 3000000 +#6839: 3000000 +#6840: 3000000 +#6841: 1 +#6842: 1 +#6843: 1 +#6844: 1 +#6845: 1 +#6846: 1 +#6847: 1 +#6848: 1 +#6849: 1 +#6850: 1 +#6851: 1 +#6852: 1 +#6853: 1 +#6854: 1 +#6855: 1 +#6856: 1 +#6857: 1 +#6858: 1 +#6859: 1 +#6860: 1 +#6861: 1 +#6862: 1 +#6863: 1 +#6864: 1 +#6865: 1 +#6866: 1 +#6867: 1 +#6868: 1 +#6869: 1 +#6870: 1 +#6871: 1 +#6872: 1 +#6873: true (1) +#6874: true (1) +#6875: true (1) +#6876: true (1) +#6877: true (1) +#6878: true (1) +#6879: true (1) +#6880: true (1) +#6881: true (1) +#6882: true (1) +#6883: true (1) +#6884: true (1) +#6885: true (1) +#6886: true (1) +#6887: true (1) +#6888: true (1) +#6889: note (0) +#6890: note (0) +#6891: note (0) +#6892: note (0) +#6893: note (0) +#6894: note (0) +#6895: note (0) +#6896: note (0) +#6897: note (0) +#6898: note (0) +#6899: note (0) +#6900: note (0) +#6901: note (0) +#6902: note (0) +#6903: note (0) +#6904: note (0) +#6905: 3 +#6906: 3 +#6907: 3 +#6908: 3 +#6909: 3 +#6910: 3 +#6911: 3 +#6912: 3 +#6913: 3 +#6914: 3 +#6915: 3 +#6916: 3 +#6917: 3 +#6918: 3 +#6919: 3 +#6920: 3 +#6921: 3 +#6922: 3 +#6923: 3 +#6924: 3 +#6925: 3 +#6926: 3 +#6927: 3 +#6928: 3 +#6929: 3 +#6930: 3 +#6931: 3 +#6932: 3 +#6933: 3 +#6934: 3 +#6935: 3 +#6936: 3 +#6937: 3000000 +#6938: 3000000 +#6939: 3000000 +#6940: 3000000 +#6941: 3000000 +#6942: 3000000 +#6943: 3000000 +#6944: 3000000 +#6945: 3000000 +#6946: 3000000 +#6947: 3000000 +#6948: 3000000 +#6949: 3000000 +#6950: 3000000 +#6951: 3000000 +#6952: 3000000 +#6953: 1 +#6954: 1 +#6955: 1 +#6956: 1 +#6957: 1 +#6958: 1 +#6959: 1 +#6960: 1 +#6961: 1 +#6962: 1 +#6963: 1 +#6964: 1 +#6965: 1 +#6966: 1 +#6967: 1 +#6968: 1 +#6969: 1 +#6970: 1 +#6971: 1 +#6972: 1 +#6973: 1 +#6974: 1 +#6975: 1 +#6976: 1 +#6977: 1 +#6978: 1 +#6979: 1 +#6980: 1 +#6981: 1 +#6982: 1 +#6983: 1 +#6984: 1 +#6985: true (1) +#6986: true (1) +#6987: true (1) +#6988: true (1) +#6989: true (1) +#6990: true (1) +#6991: true (1) +#6992: true (1) +#6993: true (1) +#6994: true (1) +#6995: true (1) +#6996: true (1) +#6997: true (1) +#6998: true (1) +#6999: true (1) +#7000: true (1) +#7001: note (0) +#7002: note (0) +#7003: note (0) +#7004: note (0) +#7005: note (0) +#7006: note (0) +#7007: note (0) +#7008: note (0) +#7009: note (0) +#7010: note (0) +#7011: note (0) +#7012: note (0) +#7013: note (0) +#7014: note (0) +#7015: note (0) +#7016: note (0) +#7017: 3 +#7018: 3 +#7019: 3 +#7020: 3 +#7021: 3 +#7022: 3 +#7023: 3 +#7024: 3 +#7025: 3 +#7026: 3 +#7027: 3 +#7028: 3 +#7029: 3 +#7030: 3 +#7031: 3 +#7032: 3 +#7033: 3 +#7034: 3 +#7035: 3 +#7036: 3 +#7037: 3 +#7038: 3 +#7039: 3 +#7040: 3 +#7041: 3 +#7042: 3 +#7043: 3 +#7044: 3 +#7045: 3 +#7046: 3 +#7047: 3 +#7048: 3 +#7049: 3000000 +#7050: 3000000 +#7051: 3000000 +#7052: 3000000 +#7053: 3000000 +#7054: 3000000 +#7055: 3000000 +#7056: 3000000 +#7057: 3000000 +#7058: 3000000 +#7059: 3000000 +#7060: 3000000 +#7061: 3000000 +#7062: 3000000 +#7063: 3000000 +#7064: 3000000 +#7065: 1 +#7066: 1 +#7067: 1 +#7068: 1 +#7069: 1 +#7070: 1 +#7071: 1 +#7072: 1 +#7073: 1 +#7074: 1 +#7075: 1 +#7076: 1 +#7077: 1 +#7078: 1 +#7079: 1 +#7080: 1 +#7081: 1 +#7082: 1 +#7083: 1 +#7084: 1 +#7085: 1 +#7086: 1 +#7087: 1 +#7088: 1 +#7089: 1 +#7090: 1 +#7091: 1 +#7092: 1 +#7093: 1 +#7094: 1 +#7095: 1 +#7096: 1 +#7097: true (1) +#7098: true (1) +#7099: true (1) +#7100: true (1) +#7101: true (1) +#7102: true (1) +#7103: true (1) +#7104: true (1) +#7105: true (1) +#7106: true (1) +#7107: true (1) +#7108: true (1) +#7109: true (1) +#7110: true (1) +#7111: true (1) +#7112: true (1) +#7113: note (0) +#7114: note (0) +#7115: note (0) +#7116: note (0) +#7117: note (0) +#7118: note (0) +#7119: note (0) +#7120: note (0) +#7121: note (0) +#7122: note (0) +#7123: note (0) +#7124: note (0) +#7125: note (0) +#7126: note (0) +#7127: note (0) +#7128: note (0) +#7129: 3 +#7130: 3 +#7131: 3 +#7132: 3 +#7133: 3 +#7134: 3 +#7135: 3 +#7136: 3 +#7137: 3 +#7138: 3 +#7139: 3 +#7140: 3 +#7141: 3 +#7142: 3 +#7143: 3 +#7144: 3 +#7145: 3 +#7146: 3 +#7147: 3 +#7148: 3 +#7149: 3 +#7150: 3 +#7151: 3 +#7152: 3 +#7153: 3 +#7154: 3 +#7155: 3 +#7156: 3 +#7157: 3 +#7158: 3 +#7159: 3 +#7160: 3 +#7161: 3000000 +#7162: 3000000 +#7163: 3000000 +#7164: 3000000 +#7165: 3000000 +#7166: 3000000 +#7167: 3000000 +#7168: 3000000 +#7169: 3000000 +#7170: 3000000 +#7171: 3000000 +#7172: 3000000 +#7173: 3000000 +#7174: 3000000 +#7175: 3000000 +#7176: 3000000 +#7177: 1 +#7178: 1 +#7179: 1 +#7180: 1 +#7181: 1 +#7182: 1 +#7183: 1 +#7184: 1 +#7185: 1 +#7186: 1 +#7187: 1 +#7188: 1 +#7189: 1 +#7190: 1 +#7191: 1 +#7192: 1 +#7193: 1 +#7194: 1 +#7195: 1 +#7196: 1 +#7197: 1 +#7198: 1 +#7199: 1 +#7200: 1 +#7201: 1 +#7202: 1 +#7203: 1 +#7204: 1 +#7205: 1 +#7206: 1 +#7207: 1 +#7208: 1 +#7209: true (1) +#7210: true (1) +#7211: true (1) +#7212: true (1) +#7213: true (1) +#7214: true (1) +#7215: true (1) +#7216: true (1) +#7217: true (1) +#7218: true (1) +#7219: true (1) +#7220: true (1) +#7221: true (1) +#7222: true (1) +#7223: true (1) +#7224: true (1) +#7225: note (0) +#7226: note (0) +#7227: note (0) +#7228: note (0) +#7229: note (0) +#7230: note (0) +#7231: note (0) +#7232: note (0) +#7233: note (0) +#7234: note (0) +#7235: note (0) +#7236: note (0) +#7237: note (0) +#7238: note (0) +#7239: note (0) +#7240: note (0) +#7241: 3 +#7242: 3 +#7243: 3 +#7244: 3 +#7245: 3 +#7246: 3 +#7247: 3 +#7248: 3 +#7249: 3 +#7250: 3 +#7251: 3 +#7252: 3 +#7253: 3 +#7254: 3 +#7255: 3 +#7256: 3 +#7257: 3 +#7258: 3 +#7259: 3 +#7260: 3 +#7261: 3 +#7262: 3 +#7263: 3 +#7264: 3 +#7265: 3 +#7266: 3 +#7267: 3 +#7268: 3 +#7269: 3 +#7270: 3 +#7271: 3 +#7272: 3 +#7273: 3000000 +#7274: 3000000 +#7275: 3000000 +#7276: 3000000 +#7277: 3000000 +#7278: 3000000 +#7279: 3000000 +#7280: 3000000 +#7281: 3000000 +#7282: 3000000 +#7283: 3000000 +#7284: 3000000 +#7285: 3000000 +#7286: 3000000 +#7287: 3000000 +#7288: 3000000 +#7289: 1 +#7290: 1 +#7291: 1 +#7292: 1 +#7293: 1 +#7294: 1 +#7295: 1 +#7296: 1 +#7297: 1 +#7298: 1 +#7299: 1 +#7300: 1 +#7301: 1 +#7302: 1 +#7303: 1 +#7304: 1 +#7305: 1 +#7306: 1 +#7307: 1 +#7308: 1 +#7309: 1 +#7310: 1 +#7311: 1 +#7312: 1 +#7313: 1 +#7314: 1 +#7315: 1 +#7316: 1 +#7317: 1 +#7318: 1 +#7319: 1 +#7320: 1 +#7321: true (1) +#7322: true (1) +#7323: true (1) +#7324: true (1) +#7325: true (1) +#7326: true (1) +#7327: true (1) +#7328: true (1) +#7329: true (1) +#7330: true (1) +#7331: true (1) +#7332: true (1) +#7333: true (1) +#7334: true (1) +#7335: true (1) +#7336: true (1) +#7337: note (0) +#7338: note (0) +#7339: note (0) +#7340: note (0) +#7341: note (0) +#7342: note (0) +#7343: note (0) +#7344: note (0) +#7345: note (0) +#7346: note (0) +#7347: note (0) +#7348: note (0) +#7349: note (0) +#7350: note (0) +#7351: note (0) +#7352: note (0) +#7353: 3 +#7354: 3 +#7355: 3 +#7356: 3 +#7357: 3 +#7358: 3 +#7359: 3 +#7360: 3 +#7361: 3 +#7362: 3 +#7363: 3 +#7364: 3 +#7365: 3 +#7366: 3 +#7367: 3 +#7368: 3 +#7369: 3 +#7370: 3 +#7371: 3 +#7372: 3 +#7373: 3 +#7374: 3 +#7375: 3 +#7376: 3 +#7377: 3 +#7378: 3 +#7379: 3 +#7380: 3 +#7381: 3 +#7382: 3 +#7383: 3 +#7384: 3 +#7385: 3000000 +#7386: 3000000 +#7387: 3000000 +#7388: 3000000 +#7389: 3000000 +#7390: 3000000 +#7391: 3000000 +#7392: 3000000 +#7393: 3000000 +#7394: 3000000 +#7395: 3000000 +#7396: 3000000 +#7397: 3000000 +#7398: 3000000 +#7399: 3000000 +#7400: 3000000 +#7401: 1 +#7402: 1 +#7403: 1 +#7404: 1 +#7405: 1 +#7406: 1 +#7407: 1 +#7408: 1 +#7409: 1 +#7410: 1 +#7411: 1 +#7412: 1 +#7413: 1 +#7414: 1 +#7415: 1 +#7416: 1 +#7417: 1 +#7418: 1 +#7419: 1 +#7420: 1 +#7421: 1 +#7422: 1 +#7423: 1 +#7424: 1 +#7425: 1 +#7426: 1 +#7427: 1 +#7428: 1 +#7429: 1 +#7430: 1 +#7431: 1 +#7432: 1 diff --git a/testsuite/gna/issue418/testsuite.sh b/testsuite/gna/issue418/testsuite.sh index ffbba4d1c..e6f846826 100755 --- a/testsuite/gna/issue418/testsuite.sh +++ b/testsuite/gna/issue418/testsuite.sh @@ -3,26 +3,20 @@ . ../../testenv.sh export GHDL_STD_FLAGS=--std=08 -analyze repro.vhdl -elab repro -analyze repro2.vhdl -elab repro2 - -analyze tc749.vhdl -elab tc749 - -if ghdl_has_feature repro2 ghw; then - simulate repro --wave=repro.ghw - simulate repro2 --wave=repro2.ghw - simulate tc749 --wave=tc749.ghw - # How to test the ghw ? Use ghwdump ? -fi +for item in repro repro2 tc749; do + analyze "$item".vhdl + elab "$item" + if ghdl_has_feature "$item" ghw; then + simulate "$item" --wave="$item".ghw + ghw_diff "$item" + rm -f "$item".txt "$item".ghw + fi +done analyze repro3.vhdl elab_simulate repro3 clean -rm -f repro.ghw repro2.ghw tc749.ghw echo "Test successful" diff --git a/testsuite/gna/issue561/golden_w.txt b/testsuite/gna/issue561/golden_w.txt new file mode 100644 index 000000000..bd3aa1ac6 --- /dev/null +++ b/testsuite/gna/issue561/golden_w.txt @@ -0,0 +1,118 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type std_ulogic_vector is array (natural range <>) of std_ulogic; +subtype std_logic_vector is std_ulogic_vector; +type t_wishbone_slave_in is record + dat: std_logic_vector; +end record; +design + package standard: + package textio: + package std_logic_1164: + package numeric_std: + package wishbone_pkg: + instance wb_demux_tb: + signal wbs_i: t_wishbone_slave_in(dat (31 downto 0)): #1-#32 + process stimulus: + instance dut: + port-in wbs_i: t_wishbone_slave_in(dat (31 downto 0)): #1-#32 +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +Time is 0 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '1' (3) +#5: '1' (3) +#6: '1' (3) +#7: '1' (3) +#8: '0' (2) +#9: '1' (3) +#10: '0' (2) +#11: '1' (3) +#12: '0' (2) +#13: '1' (3) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '1' (3) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '0' (2) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '0' (2) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) +Time is 100000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '1' (3) +#5: '1' (3) +#6: '1' (3) +#7: '1' (3) +#8: '0' (2) +#9: '1' (3) +#10: '0' (2) +#11: '1' (3) +#12: '0' (2) +#13: '1' (3) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '1' (3) +#18: '0' (2) +#19: '1' (3) +#20: '1' (3) +#21: '1' (3) +#22: '1' (3) +#23: '1' (3) +#24: '0' (2) +#25: '1' (3) +#26: '1' (3) +#27: '1' (3) +#28: '0' (2) +#29: '1' (3) +#30: '1' (3) +#31: '1' (3) +#32: '1' (3) diff --git a/testsuite/gna/issue561/testsuite.sh b/testsuite/gna/issue561/testsuite.sh index ed620bfdd..4c98393a9 100755 --- a/testsuite/gna/issue561/testsuite.sh +++ b/testsuite/gna/issue561/testsuite.sh @@ -9,9 +9,9 @@ analyze wb_demux_tb.vhd elab wb_demux_tb if ghdl_has_feature wb_demux_tb ghw; then simulate wb_demux_tb --wave=w.ghw + ghw_diff w + rm -f w.txt w.ghw fi - -rm -f w.ghw clean diff --git a/testsuite/gna/issue615/golden_repr.txt b/testsuite/gna/issue615/golden_repr.txt new file mode 100644 index 000000000..ee34c1f09 --- /dev/null +++ b/testsuite/gna/issue615/golden_repr.txt @@ -0,0 +1,79 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type std_ulogic_vector is array (natural range <>) of std_ulogic; +design + package standard: + package textio: + package std_logic_1164: + instance repr: + signal vec1: std_ulogic_vector (31 downto 0): #1-#32 + signal vec2: std_ulogic_vector (31 to 0): + process P0: + process P1: +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +Time is 0 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) diff --git a/testsuite/gna/issue615/testsuite.sh b/testsuite/gna/issue615/testsuite.sh index dfb9cc395..d2749807e 100755 --- a/testsuite/gna/issue615/testsuite.sh +++ b/testsuite/gna/issue615/testsuite.sh @@ -7,9 +7,9 @@ analyze repr.vhdl elab repr if ghdl_has_feature repr ghw; then simulate repr --wave=repr.ghw -# TODO: check with ghwdump ? + ghw_diff repr + rm -f repr.txt repr.ghw fi - clean diff --git a/testsuite/gna/issue852/golden_recordofrecord_tb.txt b/testsuite/gna/issue852/golden_recordofrecord_tb.txt new file mode 100644 index 000000000..53dc45b52 --- /dev/null +++ b/testsuite/gna/issue852/golden_recordofrecord_tb.txt @@ -0,0 +1,5704 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +type dmn_t is record + clk: std_ulogic; + rst: std_ulogic; +end record; +subtype std_logic is std_ulogic range 'U' to '-'; +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type std_ulogic_vector is array (natural range <>) of std_ulogic; +type fifo_o_t is record + rx_ack: std_ulogic; + rx_stall: std_ulogic; + tx_cyc: std_ulogic; + tx_stb: std_ulogic; + tx_tga: std_ulogic_vector; + tx_cti: std_ulogic_vector (1 downto 0); + tx_dat: std_ulogic_vector; +end record; +type fifo_array_o_t is array (natural range <>) of fifo_o_t; +type fifo_i_t is record + rx_cyc: std_ulogic; + rx_stb: std_ulogic; + rx_tga: std_ulogic_vector; + rx_cti: std_ulogic_vector (1 downto 0); + rx_dat: std_ulogic_vector; + tx_ack: std_ulogic; + tx_stall: std_ulogic; +end record; +type fifo_array_i_t is array (natural range <>) of fifo_i_t; +type reg_t is record + arr_fifo_i: fifo_array_i_t (0 to 1); +end record; +design + package standard: + package textio: + package std_logic_1164: + package numeric_std: + instance recordofrecord_tb: + signal dmn_i_s: dmn_t: #1-#2 + signal stop_s: std_logic: #3 + signal arr_fifo_o: fifo_array_o_t (0 to 1): #4-#69 + signal a: reg_t: #70-#135 + signal r: reg_t: #136-#201 + process P0: + process P1: + process P2: + process P3: + process P4: +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: 'U' (0) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: 'U' (0) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 0 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: 'U' (0) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 5000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 10000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 15000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 20000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 25000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 30000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 35000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 40000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 45000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 50000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 55000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '1' (3) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '1' (3) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 60000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '1' (3) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '1' (3) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 65000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '1' (3) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '1' (3) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 70000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '1' (3) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '1' (3) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 75000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 80000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 85000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 90000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 95000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 100000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 105000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 110000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 115000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 120000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 125000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '1' (3) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) +Time is 130000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '1' (3) +#4: 'U' (0) +#5: 'U' (0) +#6: '0' (2) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: '0' (2) +#20: '0' (2) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: 'U' (0) +#60: 'U' (0) +#61: 'U' (0) +#62: 'U' (0) +#63: 'U' (0) +#64: 'U' (0) +#65: 'U' (0) +#66: 'U' (0) +#67: 'U' (0) +#68: 'U' (0) +#69: 'U' (0) +#70: 'U' (0) +#71: 'U' (0) +#72: 'U' (0) +#73: 'U' (0) +#74: 'U' (0) +#75: 'U' (0) +#76: 'U' (0) +#77: 'U' (0) +#78: 'U' (0) +#79: 'U' (0) +#80: 'U' (0) +#81: 'U' (0) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: '0' (2) +#102: 'U' (0) +#103: 'U' (0) +#104: 'U' (0) +#105: 'U' (0) +#106: 'U' (0) +#107: 'U' (0) +#108: 'U' (0) +#109: 'U' (0) +#110: 'U' (0) +#111: 'U' (0) +#112: 'U' (0) +#113: 'U' (0) +#114: 'U' (0) +#115: 'U' (0) +#116: 'U' (0) +#117: 'U' (0) +#118: 'U' (0) +#119: 'U' (0) +#120: 'U' (0) +#121: 'U' (0) +#122: 'U' (0) +#123: 'U' (0) +#124: 'U' (0) +#125: 'U' (0) +#126: 'U' (0) +#127: 'U' (0) +#128: 'U' (0) +#129: 'U' (0) +#130: 'U' (0) +#131: 'U' (0) +#132: 'U' (0) +#133: 'U' (0) +#134: 'U' (0) +#135: 'U' (0) +#136: 'U' (0) +#137: 'U' (0) +#138: 'U' (0) +#139: 'U' (0) +#140: 'U' (0) +#141: 'U' (0) +#142: 'U' (0) +#143: 'U' (0) +#144: 'U' (0) +#145: 'U' (0) +#146: 'U' (0) +#147: 'U' (0) +#148: 'U' (0) +#149: 'U' (0) +#150: 'U' (0) +#151: 'U' (0) +#152: 'U' (0) +#153: 'U' (0) +#154: 'U' (0) +#155: 'U' (0) +#156: 'U' (0) +#157: 'U' (0) +#158: 'U' (0) +#159: 'U' (0) +#160: 'U' (0) +#161: 'U' (0) +#162: 'U' (0) +#163: 'U' (0) +#164: 'U' (0) +#165: 'U' (0) +#166: 'U' (0) +#167: '0' (2) +#168: 'U' (0) +#169: 'U' (0) +#170: 'U' (0) +#171: 'U' (0) +#172: 'U' (0) +#173: 'U' (0) +#174: 'U' (0) +#175: 'U' (0) +#176: 'U' (0) +#177: 'U' (0) +#178: 'U' (0) +#179: 'U' (0) +#180: 'U' (0) +#181: 'U' (0) +#182: 'U' (0) +#183: 'U' (0) +#184: 'U' (0) +#185: 'U' (0) +#186: 'U' (0) +#187: 'U' (0) +#188: 'U' (0) +#189: 'U' (0) +#190: 'U' (0) +#191: 'U' (0) +#192: 'U' (0) +#193: 'U' (0) +#194: 'U' (0) +#195: 'U' (0) +#196: 'U' (0) +#197: 'U' (0) +#198: 'U' (0) +#199: 'U' (0) +#200: 'U' (0) +#201: 'U' (0) diff --git a/testsuite/gna/issue852/golden_repro1.txt b/testsuite/gna/issue852/golden_repro1.txt new file mode 100644 index 000000000..18b116cef --- /dev/null +++ b/testsuite/gna/issue852/golden_repro1.txt @@ -0,0 +1,213 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type std_ulogic_vector is array (natural range <>) of std_ulogic; +type fifo_o_t is record + rx_ack: std_ulogic; + tx_cti: std_ulogic_vector (1 downto 0); + tx_dat: std_ulogic_vector; +end record; +type fifo_array_o_t is array (natural range <>) of fifo_o_t; +design + package standard: + package textio: + package std_logic_1164: + instance repro1: + signal arr_fifo_o: fifo_array_o_t (0 to 1): #1-#38 + process P0: + process P1: +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +Time is 200000 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: 'U' (0) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: '1' (3) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +Time is 1000000 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: '1' (3) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: '1' (3) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +Time is 2000000 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: '0' (2) +#18: 'U' (0) +#19: 'U' (0) +#20: 'U' (0) +#21: 'U' (0) +#22: 'U' (0) +#23: 'U' (0) +#24: 'U' (0) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: '1' (3) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) diff --git a/testsuite/gna/issue852/testsuite.sh b/testsuite/gna/issue852/testsuite.sh index ac6e49750..8380c10bc 100755 --- a/testsuite/gna/issue852/testsuite.sh +++ b/testsuite/gna/issue852/testsuite.sh @@ -4,13 +4,14 @@ export GHDL_STD_FLAGS=--std=08 for f in repro1 recordofrecord_tb; do - analyze $f.vhdl - elab $f + analyze "$f".vhdl + elab "$f" - if ghdl_has_feature $f ghw; then - simulate $f --dump-rti - simulate $f --wave=$f.ghw - rm -f $f.ghw + if ghdl_has_feature "$f" ghw; then + simulate "$f" --dump-rti + simulate "$f" --wave="$f".ghw + ghw_diff "$f" + rm -f "$f".txt "$f".ghw fi done |