aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/bug17202/test.vhdl5
-rwxr-xr-xtestsuite/gna/bug17202/testsuite.sh10
2 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/bug17202/test.vhdl b/testsuite/gna/bug17202/test.vhdl
new file mode 100644
index 000000000..c2e22ac22
--- /dev/null
+++ b/testsuite/gna/bug17202/test.vhdl
@@ -0,0 +1,5 @@
+entity test_val is end test_val;
+architecture test of test_val is
+signal t : time := time'value("123 fs");
+begin
+end test;
diff --git a/testsuite/gna/bug17202/testsuite.sh b/testsuite/gna/bug17202/testsuite.sh
new file mode 100755
index 000000000..4dcec7c6d
--- /dev/null
+++ b/testsuite/gna/bug17202/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze test.vhdl
+elab_simulate test_val
+
+clean
+
+echo "Test successful"