aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-07-25 11:45:54 +0200
committerTristan Gingold <tgingold@free.fr>2020-07-25 13:27:48 +0200
commitfdd0d00d1a028e515191a76bcc028acbfc200c56 (patch)
tree632ae6b0ed3f8906391b08e27d075ed7bad391c7 /testsuite
parent1d6581ec4d17ee7db39682c474d4f866f688b4fb (diff)
downloadghdl-fdd0d00d1a028e515191a76bcc028acbfc200c56.tar.gz
ghdl-fdd0d00d1a028e515191a76bcc028acbfc200c56.tar.bz2
ghdl-fdd0d00d1a028e515191a76bcc028acbfc200c56.zip
testsuite/gna: add a test for previous commit.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0117/repro7.vhdl18
-rwxr-xr-xtestsuite/gna/bug0117/testsuite.sh3
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/bug0117/repro7.vhdl b/testsuite/gna/bug0117/repro7.vhdl
new file mode 100644
index 000000000..c60b68580
--- /dev/null
+++ b/testsuite/gna/bug0117/repro7.vhdl
@@ -0,0 +1,18 @@
+entity repro7 is
+end repro7;
+
+architecture behav of repro7 is
+ type my_rec is record
+ addr : bit_vector;
+ wr : boolean;
+ desc : string;
+ end record;
+
+ constant v : my_rec (addr(0 downto 0)) := (
+ addr => (others => '0'),
+ wr => true,
+ desc => "none");
+begin
+ assert v.wr;
+ assert v.desc = "none";
+end behav;
diff --git a/testsuite/gna/bug0117/testsuite.sh b/testsuite/gna/bug0117/testsuite.sh
index ac83ccfe4..bc39444ad 100755
--- a/testsuite/gna/bug0117/testsuite.sh
+++ b/testsuite/gna/bug0117/testsuite.sh
@@ -12,6 +12,9 @@ elab_simulate repro4
analyze repro5.vhdl
elab_simulate repro5
+analyze repro7.vhdl
+elab_simulate repro7
+
clean
echo "Test successful"