aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-21 15:21:06 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-22 12:26:59 +0200
commitec37f2b5efe56d442ea51d3e10d16742f3cd4bce (patch)
tree5ca20e3522b1aa2d3b74827eb299941c2686fc06 /testsuite
parent19ba3d3e37b02e870ed6c6e283c267d904cafac8 (diff)
downloadghdl-ec37f2b5efe56d442ea51d3e10d16742f3cd4bce.tar.gz
ghdl-ec37f2b5efe56d442ea51d3e10d16742f3cd4bce.tar.bz2
ghdl-ec37f2b5efe56d442ea51d3e10d16742f3cd4bce.zip
Minimal handling of types and subtypes.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/pyunit/Current.vhdl2
1 files changed, 1 insertions, 1 deletions
diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl
index 350e12e66..8653cb088 100644
--- a/testsuite/pyunit/Current.vhdl
+++ b/testsuite/pyunit/Current.vhdl
@@ -20,7 +20,7 @@ end entity entity_1;
architecture behav of entity_1 is
constant MAX : positive := -25;
- signal rst : std_logic := 'U' & "test";
+ signal rst : std_logic := foo'('U');
type newInt is range -4 to 3;
subtype uint8 is integer range 0 to 255;