aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2013-12-29 12:11:39 +0100
committerTristan Gingold <tgingold@free.fr>2013-12-29 12:11:39 +0100
commite532264e3161e6715ea3447f1bb8490eea7b124e (patch)
tree400b3140f3a5481c58bb332a05efe2df3b3093bc /testsuite
parentc2a20bfff0896a33abed1cf2c4d4f1551457e710 (diff)
downloadghdl-e532264e3161e6715ea3447f1bb8490eea7b124e.tar.gz
ghdl-e532264e3161e6715ea3447f1bb8490eea7b124e.tar.bz2
ghdl-e532264e3161e6715ea3447f1bb8490eea7b124e.zip
Add bug15933.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug15933/crash.vhd14
-rwxr-xr-xtestsuite/gna/bug15933/testsuite.sh9
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/bug15933/crash.vhd b/testsuite/gna/bug15933/crash.vhd
new file mode 100644
index 000000000..a4fe381a8
--- /dev/null
+++ b/testsuite/gna/bug15933/crash.vhd
@@ -0,0 +1,14 @@
+entity crash is end;
+
+architecture behav of crash is
+ constant data_width : natural := 8;
+ type data_type is record
+ data: bit_vector(data_width-1 downto 0);
+ enable: bit;
+ end record data_type;
+ type port_type is array(0 to 15) of data_type;
+ signal s : port_type;
+begin
+ s(s'range).enable <= '0';
+end behav;
+
diff --git a/testsuite/gna/bug15933/testsuite.sh b/testsuite/gna/bug15933/testsuite.sh
new file mode 100755
index 000000000..0eeffca27
--- /dev/null
+++ b/testsuite/gna/bug15933/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure crash.vhd
+
+clean
+
+echo "Test successful"