aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <gingold@adacore.com>2016-01-03 21:42:32 +0100
committerTristan Gingold <gingold@adacore.com>2016-01-03 21:42:32 +0100
commite3c9103b4c6e5bd3d3d8d4a053b7a6c05ff55aeb (patch)
tree56f86d86014e349d9ebfda215bb250a5629038b5 /testsuite
parent73f999bf34ee4bfc0d88e8a22c2b2a40f3ffc3e3 (diff)
downloadghdl-e3c9103b4c6e5bd3d3d8d4a053b7a6c05ff55aeb.tar.gz
ghdl-e3c9103b4c6e5bd3d3d8d4a053b7a6c05ff55aeb.tar.bz2
ghdl-e3c9103b4c6e5bd3d3d8d4a053b7a6c05ff55aeb.zip
Add test for html output.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug033/simple.vhdl12
-rw-r--r--testsuite/gna/bug033/simple08.vhdl13
-rwxr-xr-xtestsuite/gna/bug033/testsuite.sh15
3 files changed, 40 insertions, 0 deletions
diff --git a/testsuite/gna/bug033/simple.vhdl b/testsuite/gna/bug033/simple.vhdl
new file mode 100644
index 000000000..8434da6f5
--- /dev/null
+++ b/testsuite/gna/bug033/simple.vhdl
@@ -0,0 +1,12 @@
+entity simple is
+end;
+
+architecture behav of simple is
+begin
+ process
+ begin
+ assert false report "Test is running" severity note;
+ wait; -- Indefinite
+ end process;
+end behav;
+
diff --git a/testsuite/gna/bug033/simple08.vhdl b/testsuite/gna/bug033/simple08.vhdl
new file mode 100644
index 000000000..1f919e82f
--- /dev/null
+++ b/testsuite/gna/bug033/simple08.vhdl
@@ -0,0 +1,13 @@
+/* Using vhdl 2008 comments. */
+entity simple08 is
+end;
+
+architecture behav of simple08 is
+begin
+ process
+ begin
+ assert false report "Test is running" severity note;
+ wait; -- Indefinite
+ end process;
+end behav;
+
diff --git a/testsuite/gna/bug033/testsuite.sh b/testsuite/gna/bug033/testsuite.sh
new file mode 100755
index 000000000..c57a46b07
--- /dev/null
+++ b/testsuite/gna/bug033/testsuite.sh
@@ -0,0 +1,15 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze simple.vhdl
+elab_simulate simple
+
+$GHDL --reprint simple.vhdl
+$GHDL --pp-html simple.vhdl > /dev/null
+$GHDL --xref-html simple.vhdl
+$GHDL --xref-html --std=08 simple08.vhdl
+
+clean
+
+echo "Test successful"