aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-03-26 16:48:28 +0200
committerTristan Gingold <tgingold@free.fr>2023-03-26 16:53:57 +0200
commite2d74e1f391c2b10d13e78baee8b10f6f878365e (patch)
tree1bc6b3258daeb028aee4c9ca3ac70fb4daa1666a /testsuite
parent6ca9f92db4bcf879e407859c10231663a50ece1e (diff)
downloadghdl-e2d74e1f391c2b10d13e78baee8b10f6f878365e.tar.gz
ghdl-e2d74e1f391c2b10d13e78baee8b10f6f878365e.tar.bz2
ghdl-e2d74e1f391c2b10d13e78baee8b10f6f878365e.zip
testsuite/gna: add a test
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug044/aggr2.vhdl13
-rwxr-xr-xtestsuite/gna/bug044/testsuite.sh1
2 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/gna/bug044/aggr2.vhdl b/testsuite/gna/bug044/aggr2.vhdl
new file mode 100644
index 000000000..499810996
--- /dev/null
+++ b/testsuite/gna/bug044/aggr2.vhdl
@@ -0,0 +1,13 @@
+entity aggr2 is
+end;
+
+architecture behav of aggr2 is
+ constant g : natural := 1;
+begin
+ process
+ variable v : bit_vector(3 downto 0);
+ begin
+ v (g + 2 downto g) := (2 downto 0 => '1');
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/bug044/testsuite.sh b/testsuite/gna/bug044/testsuite.sh
index 07a290fe5..1097b3a22 100755
--- a/testsuite/gna/bug044/testsuite.sh
+++ b/testsuite/gna/bug044/testsuite.sh
@@ -3,6 +3,7 @@
. ../../testenv.sh
analyze_failure --std=93 aggr1.vhdl
+analyze_failure --std=93 aggr2.vhdl
clean