aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-07-22 21:06:39 +0200
committerTristan Gingold <tgingold@free.fr>2017-07-22 21:06:39 +0200
commitd103c403049a0eb49ce0104118fd564dcf6025ed (patch)
tree9f868a7ccae482f5b52a745107fa9c16f55b02a8 /testsuite
parent142b11b75fd1de271094caaf3b65967415aae81c (diff)
downloadghdl-d103c403049a0eb49ce0104118fd564dcf6025ed.tar.gz
ghdl-d103c403049a0eb49ce0104118fd564dcf6025ed.tar.bz2
ghdl-d103c403049a0eb49ce0104118fd564dcf6025ed.zip
Add testcase for #389
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue389/e.vhdl6
-rwxr-xr-xtestsuite/gna/issue389/testsuite.sh9
2 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/issue389/e.vhdl b/testsuite/gna/issue389/e.vhdl
new file mode 100644
index 000000000..c4f212967
--- /dev/null
+++ b/testsuite/gna/issue389/e.vhdl
@@ -0,0 +1,6 @@
+entity e is end entity;
+architecture a of e is
+ type enu is (x);
+begin
+ assert false report integer'image(x(0)) severity note;
+end architecture;
diff --git a/testsuite/gna/issue389/testsuite.sh b/testsuite/gna/issue389/testsuite.sh
new file mode 100755
index 000000000..411883a4b
--- /dev/null
+++ b/testsuite/gna/issue389/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure e.vhdl
+
+clean
+
+echo "Test successful"