aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorUnai Martinez-Corral <38422348+umarcor@users.noreply.github.com>2023-04-19 20:27:33 +0200
committerGitHub <noreply@github.com>2023-04-19 20:27:33 +0200
commitcc5cf36c2d2e87eaf01b608c7391abdba8f9fff7 (patch)
tree49769a8bc72fd1d950074a74f38475016df2b11b /testsuite
parent6544b839fe6dceb56cb544bc4f69f0ab28100172 (diff)
parent989ec4ce0d43b90a5ada0a582c5b1ec6e610cb06 (diff)
downloadghdl-cc5cf36c2d2e87eaf01b608c7391abdba8f9fff7.tar.gz
ghdl-cc5cf36c2d2e87eaf01b608c7391abdba8f9fff7.tar.bz2
ghdl-cc5cf36c2d2e87eaf01b608c7391abdba8f9fff7.zip
pyGHDL: Reworked Symbols and Names (#2412)
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/pyunit/dom/Expressions.py10
-rw-r--r--testsuite/requirements.txt2
2 files changed, 5 insertions, 7 deletions
diff --git a/testsuite/pyunit/dom/Expressions.py b/testsuite/pyunit/dom/Expressions.py
index fe9bf22eb..24235e1e1 100644
--- a/testsuite/pyunit/dom/Expressions.py
+++ b/testsuite/pyunit/dom/Expressions.py
@@ -34,7 +34,7 @@ import ctypes
from inspect import currentframe
from pathlib import Path
from textwrap import dedent
-from typing import TypeVar, Dict
+from typing import TypeVar, Dict, cast
from unittest import TestCase
@@ -84,12 +84,10 @@ class Expressions(TestCase):
return default
def test_NotExpression(self):
- filename: Path = self._root / "{className}_{funcName}.vhdl".format(
- className=self.__class__.__name__, funcName= currentframe().f_code.co_name[5:]
- )
+ filename: Path = self._root / f"{self.__class__.__name__}_{currentframe().f_code.co_name[5:]}.vhdl"
# Define test data
- constantDeclartion = "constant c0 : boolean := not true;"
+ constantDeclartion = "constant c0 : boolean := not True;"
# Parse in-memory
default: Expression = self.parse(filename, constantDeclartion)
@@ -97,7 +95,7 @@ class Expressions(TestCase):
# Start checks
self.assertIsInstance(default, InverseExpression)
self.assertIsInstance(default.Operand, SimpleObjectOrFunctionCallSymbol)
- self.assertEqual("true", str(default.Operand)) # .SymbolName)) # XXX: hacked
+ self.assertEqual("True", cast(SimpleObjectOrFunctionCallSymbol, default.Operand).Name.Identifier)
# def test_AbsExpression(self):
# filename: Path = self._root / "{className}_{funcName}.vhdl".format(
diff --git a/testsuite/requirements.txt b/testsuite/requirements.txt
index 576b49bc0..3b2b87b7c 100644
--- a/testsuite/requirements.txt
+++ b/testsuite/requirements.txt
@@ -4,4 +4,4 @@ pytest>=7.3.0
pytest-cov>=2.10.1
# Coverage collection
-Coverage>=5.3
+Coverage>=7.2