aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-12-19 18:13:02 +0100
committerTristan Gingold <tgingold@free.fr>2017-12-21 07:36:47 +0100
commitae571344c5b6fe65c5a469251afeeee3d8f315b2 (patch)
tree6ffe31bb395d117470d4f774c110e414eabe5719 /testsuite
parent8bb2635ccecde036d92b242b2d43efd4372793a8 (diff)
downloadghdl-ae571344c5b6fe65c5a469251afeeee3d8f315b2.tar.gz
ghdl-ae571344c5b6fe65c5a469251afeeee3d8f315b2.tar.bz2
ghdl-ae571344c5b6fe65c5a469251afeeee3d8f315b2.zip
simul: handle psl endpoints (and adjust issue45).
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/gna/issue45/testsuite.sh10
1 files changed, 7 insertions, 3 deletions
diff --git a/testsuite/gna/issue45/testsuite.sh b/testsuite/gna/issue45/testsuite.sh
index 43e3613c1..f43e5ad22 100755
--- a/testsuite/gna/issue45/testsuite.sh
+++ b/testsuite/gna/issue45/testsuite.sh
@@ -5,10 +5,14 @@
GHDL_STD_FLAGS="--std=08 -fpsl"
analyze test1.vhdl
-elab_simulate psl_test_endpoint --psl-report=psl.out
+elab psl_test_endpoint
-grep -q '"cover-pass": 3' psl.out
-rm psl.out
+if ghdl_has_feature psl_test_endpoint psl-report; then
+ simulate psl_test_endpoint --psl-report=psl.out
+
+ grep -q '"cover-pass": 3' psl.out
+ rm psl.out
+fi
analyze test2.vhdl
elab_simulate psl_test2_endpoint --assert-level=error --expect-failure