aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-11-27 08:27:19 +0100
committerTristan Gingold <tgingold@free.fr>2022-11-27 12:30:41 +0100
commitad92a80324101d8c85b19d86b08297765df42ef4 (patch)
tree1d3178e2d616b54283b4a2224b6b2cdbeca06f66 /testsuite
parent55e669e57725017cb356907abcfe7a4953563296 (diff)
downloadghdl-ad92a80324101d8c85b19d86b08297765df42ef4.tar.gz
ghdl-ad92a80324101d8c85b19d86b08297765df42ef4.tar.bz2
ghdl-ad92a80324101d8c85b19d86b08297765df42ef4.zip
testsuite/pyunit/libghdl: remove work-arounds in the tests
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/pyunit/libghdl/Comments.py7
-rw-r--r--testsuite/pyunit/libghdl/array.vhdl3
-rw-r--r--testsuite/pyunit/libghdl/const.vhdl3
-rw-r--r--testsuite/pyunit/libghdl/const_fail.vhdl3
-rw-r--r--testsuite/pyunit/libghdl/enum.vhdl2
-rw-r--r--testsuite/pyunit/libghdl/enum_fail.vhdl2
-rw-r--r--testsuite/pyunit/libghdl/func.vhdl2
-rw-r--r--testsuite/pyunit/libghdl/func_fail.vhdl2
-rw-r--r--testsuite/pyunit/libghdl/multi1.vhdl10
-rw-r--r--testsuite/pyunit/libghdl/pkg_inside_fail.vhdl2
-rw-r--r--testsuite/pyunit/libghdl/pkg_inside_fail2.vhdl3
-rw-r--r--testsuite/pyunit/libghdl/record.vhdl3
-rw-r--r--testsuite/pyunit/libghdl/record_fail.vhdl3
-rw-r--r--testsuite/pyunit/libghdl/sig.vhdl2
-rw-r--r--testsuite/pyunit/libghdl/sig_fail.vhdl2
-rw-r--r--testsuite/pyunit/libghdl/type.vhdl3
-rw-r--r--testsuite/pyunit/libghdl/type_fail.vhdl3
17 files changed, 22 insertions, 33 deletions
diff --git a/testsuite/pyunit/libghdl/Comments.py b/testsuite/pyunit/libghdl/Comments.py
index 2c426a626..d619a042e 100644
--- a/testsuite/pyunit/libghdl/Comments.py
+++ b/testsuite/pyunit/libghdl/Comments.py
@@ -164,6 +164,10 @@ class Instantiate(TestCase):
def test_pkg_inside_fail(self) -> None:
self.checkFile(self._root / "pkg_inside_fail.vhdl")
+ @expectedFailure
+ def test_pkg_inside_fail2(self) -> None:
+ self.checkFile(self._root / "pkg_inside_fail2.vhdl")
+
def test_pkg_inside(self) -> None:
self.checkFile(self._root / "pkg_inside.vhdl")
@@ -267,5 +271,8 @@ class Instantiate(TestCase):
def test_process(self) -> None:
self.checkFile(self._root / "process.vhdl")
+ def test_multi1(self) -> None:
+ self.checkFile(self._root / "multi1.vhdl")
+
# TODO: first comment
# Empty line before to easy cut & put
diff --git a/testsuite/pyunit/libghdl/array.vhdl b/testsuite/pyunit/libghdl/array.vhdl
index 659f88b4d..69db03d15 100644
--- a/testsuite/pyunit/libghdl/array.vhdl
+++ b/testsuite/pyunit/libghdl/array.vhdl
@@ -1,7 +1,4 @@
package p is
-
- constant c : natural := 1;
-
-- Comment for :vec:
type vec is array(natural) of bit_vector(0 to 1);
end p;
diff --git a/testsuite/pyunit/libghdl/const.vhdl b/testsuite/pyunit/libghdl/const.vhdl
index 85f347d59..75e22ab51 100644
--- a/testsuite/pyunit/libghdl/const.vhdl
+++ b/testsuite/pyunit/libghdl/const.vhdl
@@ -1,7 +1,4 @@
package p is
-
- constant c : natural := 1;
-
-- Comment for the decl :c1:
constant c1 : natural := 3;
end p;
diff --git a/testsuite/pyunit/libghdl/const_fail.vhdl b/testsuite/pyunit/libghdl/const_fail.vhdl
index 9eaede81b..79b37fec5 100644
--- a/testsuite/pyunit/libghdl/const_fail.vhdl
+++ b/testsuite/pyunit/libghdl/const_fail.vhdl
@@ -1,7 +1,4 @@
package p is
-
- constant c : natural := 1;
-
-- Comment for the decl.
constant c1 : natural := 3;
end p;
diff --git a/testsuite/pyunit/libghdl/enum.vhdl b/testsuite/pyunit/libghdl/enum.vhdl
index 72c460269..320f0a7dc 100644
--- a/testsuite/pyunit/libghdl/enum.vhdl
+++ b/testsuite/pyunit/libghdl/enum.vhdl
@@ -1,6 +1,4 @@
package p is
- constant c : natural := 1;
-
-- Comment for :state_t:
type state_t is (s1, s2, s3);
end p;
diff --git a/testsuite/pyunit/libghdl/enum_fail.vhdl b/testsuite/pyunit/libghdl/enum_fail.vhdl
index ec8a1cb79..51ebfac86 100644
--- a/testsuite/pyunit/libghdl/enum_fail.vhdl
+++ b/testsuite/pyunit/libghdl/enum_fail.vhdl
@@ -1,6 +1,4 @@
package p is
- constant c : natural := 1;
-
-- Comment
type state_t is (s1, s2, s3);
end p;
diff --git a/testsuite/pyunit/libghdl/func.vhdl b/testsuite/pyunit/libghdl/func.vhdl
index df9fcd9a2..1c6fc8741 100644
--- a/testsuite/pyunit/libghdl/func.vhdl
+++ b/testsuite/pyunit/libghdl/func.vhdl
@@ -1,6 +1,4 @@
package p is
- constant c : natural := 1;
-
-- :log2: as functions are longer in definitions, it might be written before
function log2(param : positive) return natural;
end p;
diff --git a/testsuite/pyunit/libghdl/func_fail.vhdl b/testsuite/pyunit/libghdl/func_fail.vhdl
index 6b71cc6f2..68ff2b80e 100644
--- a/testsuite/pyunit/libghdl/func_fail.vhdl
+++ b/testsuite/pyunit/libghdl/func_fail.vhdl
@@ -1,6 +1,4 @@
package p is
- constant c : natural := 1;
-
-- as functions are longer in definitions, it might be written before
function log2(param : positive) return natural;
end p;
diff --git a/testsuite/pyunit/libghdl/multi1.vhdl b/testsuite/pyunit/libghdl/multi1.vhdl
new file mode 100644
index 000000000..73eebd5b5
--- /dev/null
+++ b/testsuite/pyunit/libghdl/multi1.vhdl
@@ -0,0 +1,10 @@
+architecture arch of ent is
+ -- Comment for :arch:
+ -- Again for :arch:
+
+ -- Also for :arch:
+
+ -- But for :b1:
+ signal b1 : bit;
+begin
+end arch;
diff --git a/testsuite/pyunit/libghdl/pkg_inside_fail.vhdl b/testsuite/pyunit/libghdl/pkg_inside_fail.vhdl
index f5e347488..4b2b9e653 100644
--- a/testsuite/pyunit/libghdl/pkg_inside_fail.vhdl
+++ b/testsuite/pyunit/libghdl/pkg_inside_fail.vhdl
@@ -1,3 +1,5 @@
package p2 is
-- comments in design units (python doc-string style) :fail:
+
+ constant c : natural := 5;
end package;
diff --git a/testsuite/pyunit/libghdl/pkg_inside_fail2.vhdl b/testsuite/pyunit/libghdl/pkg_inside_fail2.vhdl
new file mode 100644
index 000000000..f5e347488
--- /dev/null
+++ b/testsuite/pyunit/libghdl/pkg_inside_fail2.vhdl
@@ -0,0 +1,3 @@
+package p2 is
+ -- comments in design units (python doc-string style) :fail:
+end package;
diff --git a/testsuite/pyunit/libghdl/record.vhdl b/testsuite/pyunit/libghdl/record.vhdl
index 9036f0938..82d5c1faa 100644
--- a/testsuite/pyunit/libghdl/record.vhdl
+++ b/testsuite/pyunit/libghdl/record.vhdl
@@ -1,7 +1,4 @@
package p is
-
- constant c : natural := 1;
-
-- Comment for :rec:
type rec is record
a : bit;
diff --git a/testsuite/pyunit/libghdl/record_fail.vhdl b/testsuite/pyunit/libghdl/record_fail.vhdl
index fd584f274..6288920f7 100644
--- a/testsuite/pyunit/libghdl/record_fail.vhdl
+++ b/testsuite/pyunit/libghdl/record_fail.vhdl
@@ -1,7 +1,4 @@
package p is
-
- constant c : natural := 1;
-
-- Comment for the record
type rec is record
a : bit;
diff --git a/testsuite/pyunit/libghdl/sig.vhdl b/testsuite/pyunit/libghdl/sig.vhdl
index 785630e7d..ee0865ae4 100644
--- a/testsuite/pyunit/libghdl/sig.vhdl
+++ b/testsuite/pyunit/libghdl/sig.vhdl
@@ -1,6 +1,4 @@
architecture arch of ent is
- signal b1 : bit;
-
-- Comment for :b2:
signal b2 : bit;
begin
diff --git a/testsuite/pyunit/libghdl/sig_fail.vhdl b/testsuite/pyunit/libghdl/sig_fail.vhdl
index c686ef2a5..4630d2877 100644
--- a/testsuite/pyunit/libghdl/sig_fail.vhdl
+++ b/testsuite/pyunit/libghdl/sig_fail.vhdl
@@ -1,6 +1,4 @@
architecture arch of ent is
- signal b1 : bit;
-
-- Comment
signal b2 : bit;
begin
diff --git a/testsuite/pyunit/libghdl/type.vhdl b/testsuite/pyunit/libghdl/type.vhdl
index 858c97c08..94818ee88 100644
--- a/testsuite/pyunit/libghdl/type.vhdl
+++ b/testsuite/pyunit/libghdl/type.vhdl
@@ -1,7 +1,4 @@
package p is
-
- constant c : natural := 1;
-
-- Comment for :vec:
type vec is array(natural range <>) of bit_vector(0 to 1);
end p;
diff --git a/testsuite/pyunit/libghdl/type_fail.vhdl b/testsuite/pyunit/libghdl/type_fail.vhdl
index a88613a57..bb4050103 100644
--- a/testsuite/pyunit/libghdl/type_fail.vhdl
+++ b/testsuite/pyunit/libghdl/type_fail.vhdl
@@ -1,7 +1,4 @@
package p is
-
- constant c : natural := 1;
-
-- Comment
type vec is array(natural range <>) of bit_vector(0 to 1);
end p;