aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-08-02 09:26:44 +0200
committerTristan Gingold <tgingold@free.fr>2020-08-03 19:05:59 +0200
commitab2fd3d52f149efcc9cc66f0a0a5e378a1d63918 (patch)
treeea3055f70f47b593b70a1f1af911bcb2946dc02f /testsuite
parent024086cfb9c965abc579aa7fb5efc3e63d39c6b5 (diff)
downloadghdl-ab2fd3d52f149efcc9cc66f0a0a5e378a1d63918.tar.gz
ghdl-ab2fd3d52f149efcc9cc66f0a0a5e378a1d63918.tar.bz2
ghdl-ab2fd3d52f149efcc9cc66f0a0a5e378a1d63918.zip
vhdl: handle force/release statements in translate and grt. For #1416
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue685/tb.ref30
1 files changed, 15 insertions, 15 deletions
diff --git a/testsuite/gna/issue685/tb.ref b/testsuite/gna/issue685/tb.ref
index 55ebec176..e4e718f2f 100644
--- a/testsuite/gna/issue685/tb.ref
+++ b/testsuite/gna/issue685/tb.ref
@@ -1,15 +1,15 @@
-.wb_demux_tb(bench).s.dat(1) e8 ---- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
-.wb_demux_tb(bench).s.dat(0) e8 ---- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
-.wb_demux_tb(bench).s.we e8 ---- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
-.wb_demux_tb(bench).s.dat(1) e8 ---- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
-.wb_demux_tb(bench).s.dat(0) e8 ---- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
-.wb_demux_tb(bench).s.we e8 ---- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
-.wb_demux_tb(bench).s.dat(1) e8 AE-- last_event=1ns last_active=1ns val='1'; drv='1'
-.wb_demux_tb(bench).s.dat(0) e8 AE-- last_event=1ns last_active=1ns val='1'; drv='1'
-.wb_demux_tb(bench).s.we e8 ---- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
-.wb_demux_tb(bench).s.dat(1) e8 ---- last_event=1ns last_active=1ns val='1'; drv='1'
-.wb_demux_tb(bench).s.dat(0) e8 ---- last_event=1ns last_active=1ns val='1'; drv='1'
-.wb_demux_tb(bench).s.we e8 ---- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
-.wb_demux_tb(bench).s.dat(1) e8 AE-- last_event=2ns last_active=2ns val='0'; drv='0'
-.wb_demux_tb(bench).s.dat(0) e8 AE-- last_event=2ns last_active=2ns val='0'; drv='0'
-.wb_demux_tb(bench).s.we e8 ---- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
+.wb_demux_tb(bench).s.dat(1) e8 ------ last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
+.wb_demux_tb(bench).s.dat(0) e8 ------ last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
+.wb_demux_tb(bench).s.we e8 ------ last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
+.wb_demux_tb(bench).s.dat(1) e8 ------ last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
+.wb_demux_tb(bench).s.dat(0) e8 ------ last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
+.wb_demux_tb(bench).s.we e8 ------ last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
+.wb_demux_tb(bench).s.dat(1) e8 AE---- last_event=1ns last_active=1ns val='1'; drv='1'
+.wb_demux_tb(bench).s.dat(0) e8 AE---- last_event=1ns last_active=1ns val='1'; drv='1'
+.wb_demux_tb(bench).s.we e8 ------ last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
+.wb_demux_tb(bench).s.dat(1) e8 ------ last_event=1ns last_active=1ns val='1'; drv='1'
+.wb_demux_tb(bench).s.dat(0) e8 ------ last_event=1ns last_active=1ns val='1'; drv='1'
+.wb_demux_tb(bench).s.we e8 ------ last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'
+.wb_demux_tb(bench).s.dat(1) e8 AE---- last_event=2ns last_active=2ns val='0'; drv='0'
+.wb_demux_tb(bench).s.dat(0) e8 AE---- last_event=2ns last_active=2ns val='0'; drv='0'
+.wb_demux_tb(bench).s.we e8 ------ last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U'