aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-08-05 18:26:57 +0200
committerTristan Gingold <tgingold@free.fr>2020-08-05 18:26:57 +0200
commit92d4e4421b71fce4ca4f11063f6819e056bc88e0 (patch)
tree86fd62b2fb875c717265efa112d41cceea85fbcf /testsuite
parentc2e76ef851a4278dcbda8c074e010bbc7c177963 (diff)
downloadghdl-92d4e4421b71fce4ca4f11063f6819e056bc88e0.tar.gz
ghdl-92d4e4421b71fce4ca4f11063f6819e056bc88e0.tar.bz2
ghdl-92d4e4421b71fce4ca4f11063f6819e056bc88e0.zip
testsuite/gna: add test for #899
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue899/testing.vhdl13
-rwxr-xr-xtestsuite/gna/issue899/testsuite.sh11
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/issue899/testing.vhdl b/testsuite/gna/issue899/testing.vhdl
new file mode 100644
index 000000000..dc1b7df3e
--- /dev/null
+++ b/testsuite/gna/issue899/testing.vhdl
@@ -0,0 +1,13 @@
+library IEEE;
+use IEEE.NUMERIC_STD.ALL;
+
+entity testing is
+generic(
+ GENVAL : integer := 4);
+end entity;
+
+architecture RTL of testing is
+ type pixel_line is array(natural range <>) of bit_vector;
+ signal data_in : pixel_line(0 to GENVAL)(7 downto 0);
+begin
+end architecture;
diff --git a/testsuite/gna/issue899/testsuite.sh b/testsuite/gna/issue899/testsuite.sh
new file mode 100755
index 000000000..ccccd3a6a
--- /dev/null
+++ b/testsuite/gna/issue899/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze testing.vhdl
+elab_simulate testing
+
+clean
+
+echo "Test successful"